Dataset Viewer
Auto-converted to Parquet Duplicate
task_id
stringlengths
5
11
query_token_num
int64
151
4.39k
Engineering Domain
stringclasses
9 values
prompt
stringlengths
640
112k
contributor
stringlengths
8
50
RS_02
287
Mechanical Systems
I have attached a file SetupFile.json. SetupFile.json includes parameters of the car in the format { "setupName" : "Gp2Dummy", "mcar" : 728, "clt" : 3.1, "cx" : 1.0, "afrcar" : 1.0, "mbrk" : 7000, "gripx" : 1.15, "gripy" : 1.40, "loadEff" : 0.10, "rtyre" : 0.32, "rGearRat" : [10.0,7.8,6.1,7.8,5.2,4.5,4.0], "reff" : 0.95, "EngNm" : [200,300,430,380], "EngRpm" : [0,3000,7000,10000], "rho" : 1.22 } #Task 1 Compute the maximum possible acceleration the car can achieve. #Task 2 Compute the maximum possible deceleration the car can achieve under braking. Please use consistent SI units and represent acceleration and deceleration in m/s^2.
Rushabh Prasad Shetty
WJ_01
1,337
Signal Processing
Task Description Consider an image denoising task where a grayscale image is corrupted by additive noise. The image is represented as a NumPy array in Python. The goal is to design a filter pipeline using a Large Language Model (LLM) that reads the noisy image and returns a denoised version 'filtered_img' The input image 'img' is available as a NumPy array and you will get the image encoded using base64 method. You need to analyze the type of noise added into the image carefully. If only one type of noise is added maybe one or two carefully selected filters which are specially designed for the noise is enough. If the image is corrupted by a mixture of different noise task will be complicated. One to two filters may not be enough so you may need to use a series of filters. No prior knowledge is given on the exact noise parameters. Explore and combine **a diverse set of filters** available in OpenCV when the task is complicated. Do not just simply rely on gaussian and median filters when you think they are not sufficient, try more filters. Feel free to use simple function deisgn when you think that the noise is simple and one or two single filters such as gaussian filter or median filter are enough to finish the task. In this case, do not make the design complicated, if a single filter may work, just use a single filter. Make sure the generated code is executable so you must carefully review your code after you finish the function. Input image is a color image so the output image should also be a color image with shape (3, 512, 512). Task Design a sequence of filters to denoise the input image. The LLM should: 1. Read and analyze the data in the image. If there's only a single type of noise, tell me the name and if there's a mixture of different noise list the types of noise. 2. Review common types of noise, their characteristic and their filter strateg. Explain the filtering strategy: list each filter to be applied in order, along with the motivation for its use (e.g., remove salt-and-pepper noise, preserve edges while reducing Gaussian noise). 3. Specify filter parameters: for each filter, clearly define its parameters (e.g., kernel size, sigma, threshold). 4. Generate executable code: write a Python function named denoise_image that takes 'img' as input, applies the filter pipeline step by step using standard Python libraries (e.g., NumPy, OpenCV, SciPy), and outputs the denoised image in the variable 'filtered_img'. The function must be a single Python code block, like this: def denoise_image(noisy_img): # your code return filtered_img When I evaluate the results, I focuses on how much information you can recover from the noisy image and I will use MSE and PSNR as matrics. So please denoise the image as much as possible while keeping the original detailed informarion and texture. Here shows how I will evaluate your response by running the function you provide: def evaluate_llm_response(llm_response): """ Evaluate the image filtering code returned by the LLM. Load the only image in 'images', denoise it using LLM code, save result to 'results' folder, and compute MSE and PSNR using a known reference (if needed). """ confidence = 100 # Confidence score assigned to the evaluation # === Step 1: Locate the only image in 'images' === input_folder = 'images' image_files = [f for f in os.listdir(input_folder) if f.lower().endswith(('.png', '.jpg', '.jpeg'))] if len(image_files) != 1: raise ValueError("The 'images' folder must contain exactly one image.") image_name = image_files[0] image_path = os.path.join(input_folder, image_name) noisy_img = cv2.imread(image_path) original_img = cv2.imread('original_image.png') if noisy_img is None: raise IOError(f"Failed to read image: {image_path}") # === Step 2: Execute LLM code to perform denoising === function_code = llm_response.config.function_code with open("function.txt", "w", encoding="utf-8") as file: file.write(function_code) exec_globals = {'np': np, 'cv2': cv2, 'img_noisy': noisy_img} exec(function_code, exec_globals) filtered_img = exec_globals['denoise_image'](noisy_img) # === Step 3: Save result to 'results' folder === os.makedirs('results', exist_ok=True) base_name, ext = os.path.splitext(image_name) output_path = os.path.join('results', f"{base_name}_filtered{ext}") cv2.imwrite(output_path, filtered_img) # === Step 4: Evaluation step (optional) === # Since we don't have a clean original image here, we cannot compute real MSE/PSNR. # You can skip this part or use dummy values if reference is unavailable. mse = mean_squared_error(original_img.flatten(), filtered_img.flatten()) psnr = cv2.PSNR(original_img, filtered_img) passed = mse < 100 MSE_best = 0 MSE_worst = 500 PSNR_best = 40 PSNR_worst = 10 w_psnr = 0.7 w_mse = 0.3 mse_norm = max(0, min(1, (MSE_worst - mse) / (MSE_worst - MSE_best))) psnr_norm = max(0, min(1, (psnr - PSNR_worst) / (PSNR_best - PSNR_worst))) score = 100 * (w_mse * mse_norm + w_psnr * psnr_norm) metrics = {"mse": mse, "psnr": psnr} print(score) # print(llm_response.config.denoising_strategy) details = { "strategy": llm_response.config.denoising_strategy, "score": metrics, "denoising function": function_code } return passed, details, score, confidence
Weijie Liang
RK_02
202
Structure Design
## Task Description This is a mulitple design load problem. Design domain is a 2D rectangular region in cartesian coordinate, with vertices at (0,0), (6,0), (6,1), (0,1). Vertically downward point loads are applied at (2,1) & (4,1). Pin support is at (0,0) and roller support is at (6,0). Lx = 6 and Ly = 1. The filter radius is R = 0.03*max(Lx,Ly). The filter exponent is 3. --- ### Task Your task is to: - Obtain a topology optimized design that has minimum structural compliance and volume fraction not exceeding 0.25. - Given the optimized design, output the corresponding minimum structural compliance, named C_y_hat, and also its volume fraction, named vf, in numerical numbers. Note that vf is in the range of [0,1].
Rahul Kundu, Yilan Jiang
AV_03
196
Signal Processing
## Task Description In this task, you are required to design a system to downsample a digital audio signal from 48kHz to 8kHz. Before downsampling, we need an anti-aliasing filter. Design this filter. ### Design Constraints The input signal is sampled at 48kHz. The output signal is sampled at 8kHz. The useful frequency bandwidth of the original signal is between 0 and 3.5kHz, and it must be preserved with minimal distortion (Attenuation less than 3dB, Ripple amplitude less than 3dB) You must ensure that the useful frequency bandwidth is unaffected by aliasing. Thus, determine the beginning frequency of the stopband. Ensure that your filter is FIR. ### Response Specifications Provide the following information as your response. - The filter order - A list of the filter's coefficients - The beginning frequency of the stopband - The decimation factor
Aditya Venkatesh
RS_03
296
Mechanical Systems
I have attached a file SetupFile.json. SetupFile.json includes parameters of the car in the format { "setupName" : "Gp2Dummy", "mcar" : 728, "clt" : 3.1, "cx" : 1.0, "afrcar" : 1.0, "mbrk" : 7000, "gripx" : 1.15, "gripy" : 1.40, "loadEff" : 0.10, "rtyre" : 0.32, "rGearRat" : [10.0,7.8,6.1,7.8,5.2,4.5,4.0], "reff" : 0.95, "EngNm" : [200,300,430,380], "EngRpm" : [0,3000,7000,10000], "rho" : 1.22 } #Task Compute the maximum possible load on the neck of the driver when the car is cornering. Assume the mass of the head to be 5kg and the mass of the helmet equal to 2kg. Please use consistent SI units and compute the load in Newtons (N).
Rushabh Prasad Shetty
XY_01
1,715
Digital Hardware Design
# Tetris Block ROM Analysis ## Background You are working with a hardware implementation of a Tetris game. The game uses a ROM (Read-Only Memory) to store the shapes of different Tetris blocks (tetrominoes) in various rotational states. Each tetromino is represented as a 4x4 grid of bits, where '1' indicates a filled cell and '0' indicates an empty cell. ## Font ROM Data The ROM contains the following tetromino patterns: 1. I-tetromino (straight piece): - Rotation 0: [[0,0,0,0], [1,1,1,1], [0,0,0,0], [0,0,0,0]] - Rotation 1: [[0,1,0,0], [0,1,0,0], [0,1,0,0], [0,1,0,0]] - Rotation 2: [[0,0,0,0], [0,0,0,0], [1,1,1,1], [0,0,0,0]] - Rotation 3: [[0,0,1,0], [0,0,1,0], [0,0,1,0], [0,0,1,0]] 2. O-tetromino (square piece): - Rotation 0: [[0,0,0,0], [0,1,1,0], [0,1,1,0], [0,0,0,0]] - Rotation 1: [[0,0,0,0], [0,1,1,0], [0,1,1,0], [0,0,0,0]] - Rotation 2: [[0,0,0,0], [0,1,1,0], [0,1,1,0], [0,0,0,0]] - Rotation 3: [[0,0,0,0], [0,1,1,0], [0,1,1,0], [0,0,0,0]] 3. T-tetromino: - Rotation 0: [[0,0,0,0], [0,1,0,0], [1,1,1,0], [0,0,0,0]] - Rotation 1: [[0,0,0,0], [0,1,0,0], [0,1,1,0], [0,1,0,0]] - Rotation 2: [[0,0,0,0], [0,0,0,0], [1,1,1,0], [0,1,0,0]] - Rotation 3: [[0,0,0,0], [0,1,0,0], [1,1,0,0], [0,1,0,0]] 4. L-tetromino: - Rotation 0: [[0,0,0,0], [0,0,1,0], [1,1,1,0], [0,0,0,0]] - Rotation 1: [[0,0,0,0], [0,1,0,0], [0,1,0,0], [0,1,1,0]] - Rotation 2: [[0,0,0,0], [0,0,0,0], [1,1,1,0], [1,0,0,0]] - Rotation 3: [[0,0,0,0], [1,1,0,0], [0,1,0,0], [0,1,0,0]] 5. J-tetromino: - Rotation 0: [[0,0,0,0], [1,0,0,0], [1,1,1,0], [0,0,0,0]] - Rotation 1: [[0,0,0,0], [0,1,1,0], [0,1,0,0], [0,1,0,0]] - Rotation 2: [[0,0,0,0], [0,0,0,0], [1,1,1,0], [0,0,1,0]] - Rotation 3: [[0,0,0,0], [0,1,0,0], [0,1,0,0], [1,1,0,0]] 6. Z-tetromino: - Rotation 0: [[0,0,0,0], [1,1,0,0], [0,1,1,0], [0,0,0,0]] - Rotation 1: [[0,0,0,0], [0,0,1,0], [0,1,1,0], [0,1,0,0]] - Rotation 2: [[0,0,0,0], [0,0,0,0], [1,1,0,0], [0,1,1,0]] - Rotation 3: [[0,0,0,0], [0,1,0,0], [1,1,0,0], [1,0,0,0]] 7. S-tetromino: - Rotation 0: [[0,0,0,0], [0,1,1,0], [1,1,0,0], [0,0,0,0]] - Rotation 1: [[0,0,0,0], [0,1,0,0], [0,1,1,0], [0,0,1,0]] - Rotation 2: [[0,0,0,0], [0,0,0,0], [0,1,1,0], [1,1,0,0]] - Rotation 3: [[0,0,0,0], [1,0,0,0], [1,1,0,0], [0,1,0,0]] ## Task Description You are given a SystemVerilog module called `font_rom` that contains the ROM definitions for all seven standard Tetris pieces (I, O, T, L, J, Z, S) in their four possible rotational states. Your task is to: 1. Analyze the ROM content to identify and extract specific tetromino shapes 2. Generate visual representations of requested tetrominoes Please analyze the following tetromino: Tetromino: I Rotation: 1 ## Requirements 1. Extract the bit pattern for a specified tetromino and rotation 2. Convert the bit pattern to a visual representation using characters (e.g., '#' for filled cells, '.' for empty cells) ## Input Format - Tetromino type: specified as a string ('I', 'O', 'T', 'L', 'J', 'Z', 'S') - Rotation: specified as an integer (0, 1, 2, 3) representing the rotational state ## Output Format Your solution should provide: 1. The extracted bit pattern as a 4x4 grid 2. A visual representation of the pattern ## Example Response Input: Z-tetromino, Rotation 0 Output : "config": { "tetromino_type": "Z", "rotation": 0 }, "tetromino_pattern": { "bit_grid": [ [0, 0, 0, 0], [1, 1, 0, 0], [0, 1, 1, 0], [0, 0, 0, 0] ], "visual": [ "....", "##..", ".##.", "...." ] } }
XiangYi Kong
AB_01
741
Signal Processing
## Task Description Radiomics involves extracting quantitative features from medical images. This task requires calculating statistical and texture features for pixels within a specific polygonal region of interest (ROI) inside a given image patch. **Given Data:** 1. **Image Patch Intensities** (as a 10x10 matrix representing tissue): ``` [[15, 18, 20, 23, 26, 29, 32, 35, 38, 41], [17, 20, 23, 26, 29, 32, 35, 38, 41, 44], [19, 22, 25, 28, 31, 34, 37, 40, 43, 46], [21, 24, 27, 30, 33, 36, 39, 42, 45, 48], [23, 26, 29, 32, 35, 38, 41, 44, 47, 50], [25, 28, 31, 34, 37, 40, 43, 46, 49, 52], [27, 30, 33, 36, 39, 42, 45, 48, 51, 54], [29, 32, 35, 38, 41, 44, 47, 50, 53, 56], [31, 34, 37, 40, 43, 46, 49, 52, 55, 58], [33, 36, 39, 42, 45, 48, 51, 54, 57, 60]] ``` 2. **Polygon ROI Vertices** (defining the boundary within the patch, using 0-based indexing where (0,0) is the top-left pixel): `(2,2), (2,7), (7,7), (7,2)` *(Note: These vertices define the boundary edges of the polygon)* **Your Task:** 1. Identify all pixel coordinates $(row, column)$ that lie strictly *inside* the polygon defined by the given vertices. (Assume integer coordinates represent pixel centers). 2. Extract the intensity values of these interior pixels. 3. Calculate the following **first-order statistics** for the extracted intensity values: * Mean * Variance (use sample variance, N-1 denominator) * Skewness * Kurtosis (Fisher's definition, i.e., excess kurtosis) 4. Construct the Gray-Level Co-occurrence Matrix (GLCM) for the *interior pixels only*, using horizontal adjacency (offset `[0, 1]`, distance=1, angle=0 degrees). Assume pixel intensities are used directly without quantization. The GLCM should only consider pairs where both pixels are inside the polygon. Normalize the GLCM so that its elements sum to 1. 5. Calculate the **Contrast** feature from the normalized GLCM using the formula: $Contrast = \sum_{i,j} |i-j|^2 p(i,j)$, where $p(i,j)$ is the normalized GLCM value at intensity level pair $(i,j)$. Report the calculated float values for Mean, Variance, Skewness, Kurtosis, and GLCM Contrast. Provide numerical results rounded to 4 decimal places.
Ayush Barik
AV_02
198
Signal Processing
## Task Description In this task, you are required to design a digital IIR filter, used to eliminate a particular resonant frequency that is affecting a sensor reading. ### Design Constraints The sensor signal is being sampled at a rate of 2000Hz. The resonant frequency you should eliminate is 120Hz. Your filter should strongly attenuate the resonant frequency. (Attenuation more than 40dB) Your filter should minimally affect frequencies more than 5Hz below the resonant frequency. (Attenuation less than 3dB at 115Hz) Your filter should minimally affect frequencies more than 5Hz above the resonant frequency. (Attenuation less than 3dB at 125Hz) ### Response Specifications Provide the following information as your response. - The filter order - A list of the filter's numerator coefficients (Provide ALL of them) - A list of the filter's denominator coefficients (Provide ALL of them)
Aditya Venkatesh
libin2_01
338
Operating System Design
## Task Description You are an operating‑system architect tasked with designing a unified multi‑level page‑table scheme that must satisfy the following two physical‑memory scenarios: Device | Physical Memory | Max Page‑Table Memory Overhead | Required Avg. Address Translation Time | TLB Access Time | Per‑Level PTE Access Time ---------- | --------------- | ------------------------------ | -------------------------------------- | --------------- | -------------------------- Device A | 150 MB | ≤ 320 KB | ≤ 150 ns | 20 ns | 100 ns Device B | 2 GB | ≤ 4.05 MB | ≤ 150 ns | 20 ns | 100 ns Additionally, we specify: 1. Virtual addresses are 40 bits (byte‑addressable). 2. Each PTE is 8 bytes. 3. The TLB hit rate h as a function of page size is: h(page_size) = exp(-0.1542*(page_size/1024 - 5.82)**2) Please design, for Device A and Device B, a multi‑level page‑table structure including: 1. page_size: page size (bytes) 2. levels: number of levels 3. entries_per_level: list of entries per level And compute: - page_table_memory: total page‑table memory overhead (bytes), assuming on‑demand allocation only for mapped regions - avg_translation_time: average address‑translation time (ns), including only TLB access and PTE accesses
Libin Wang
RK_03
188
Structure Design
## Task Description This is a michell structure. Design domain is a 2D rectangular region in cartesian coordinate, with vertices at (0,0), (2,0), (2,1), (0,1). A vertically downward point load is applied at (1,0.5). Pin support is at (0,0.5) and roller support is at (2,0.5). The filter radius is R = 0.03*max(Lx,Ly). The filter exponent is 3. --- ### Task Your task is to: - Obtain a topology optimized design that has minimum structural compliance and volume fraction not exceeding 0.15. - Given the optimized design, output the corresponding minimum structural compliance, named C_y_hat, and also its volume fraction, named vf, in numerical numbers. Note that vf is in the range of [0,1].
Rahul Kundu, Yilan Jiang
RK_04
186
Structure Design
## Task Description Design domain is bounded by following vertices (-1,-1), (1,-1), (1,1), (-1,1). A sharp pre-crack is present in the domain, along the line (0,0) to (0,1). Horizontal loads are applied at (-1,1) along -ve x-axis and at (1,1) along +ve x-axis. The filter radius is R = 0.03*max(Lx,Ly). The filter exponent is 3. --- ### Task Your task is to: - Design a structure that has minimum value of maximum stress such that volume fraction does not exceed 0.25. - Given the optimized design, output the corresponding maximum stress, named s_hat, and also its volume fraction, named vf, in numerical numbers. Note that vf is in the range of [0,1].
Rahul Kundu, Yilan Jiang
ZH_02
225
Mechanical Systems
## Task Description You are tasked with designing a heat shield for a small spacecraft re-entering Earth’s atmosphere from low Earth orbit (LEO). The spacecraft follows a ballistic reentry trajectory. Your goal is to determine an appropriate heat shield radius (in meters) that satisfies the following performance constraints: - The peak heat flux on the heat shield must not exceed **1000 W/cm²** - The total heat load must not exceed **100 MJ/m²** - The peak deceleration must not exceed **30g** (where 1g = 9.81 m/s²) The spacecraft is spherical with the following properties: - by experience it reaches highest heat at 40km above sea level - Initial velocity: 7.8 km/s - at 40km the speed is about 5500m/s and lose about half the heat - Entry altitude: 400 km - Flight path angle: –6 degrees - Mass: 250 kg - Drag coefficient: 0.47 Your output should propose a value of the heat shield radius that satisfies all three constraints.
Zhihua Gong
YX_01
622
Robotics
## Task Description You are given a **2D sparse costmap**, where each occupied cell is represented as a triplet `(x, y, cost)`. This costmap follows the specifications below: - The costmap spans an area that is **120 meters wide** and **100 meters long**. - Each grid cell is **1 meter × 1 meter**. - The **bottom-left corner** of the costmap corresponds to world coordinates `(-80, -30)`. - The costmap is represented using a **sparse format**, listing only the grid cells where cost = 1 (i.e., obstacles). Cells with cost = 0 (free space) are **not included**. Your goal is to perform **path planning** for an autonomous vehicle navigating this 2D environment. You should use the **Hybrid A\*** algorithm to compute collision-free paths that satisfy nonholonomic motion constraints. You will first complete a simple geometry-based task (Task 1), and then use the given costmap data below to perform two separate path planning tasks. Below is the detailed data for the 2D costmap: -73,-15,1 -73,-14,1 -72,-23,1 -72,-20,1 -72,-19,1 -72,-18,1 -72,-15,1 -72,-14,1 -72,-10,1 -72,-9,1 -72,-8,1 -71,-22,1 -71,-21,1 -71,-20,1 -71,-19,1 -71,-18,1 -71,-17,1 -71,-16,1 -71,-15,1 -71,-14,1 -71,-13,1 -71,-11,1 -71,-10,1 -71,-9,1 -71,-8,1 -71,-7,1 -70,-23,1 -70,-22,1 -70,-21,1 -70,-20,1 -70,-19,1 -70,-18,1 -70,-17,1 -70,-16,1 -70,-15,1 -70,-14,1 -70,-13,1 -70,-12,1 -70,-11,1 -70,-10,1 -70,-8,1 -70,-7,1 -70,0,1 -69,-23,1 -69,-20,1 -69,-19,1 -69,-18,1 -69,-17,1 -69,-16,1 -69,-15,1 -69,-14,1 -69,-13,1 -69,-12,1 -69,-11,1 -69,-10,1 -69,-9,1 -69,-8,1 -69,-7,1 -69,-6,1 -69,-5,1 -69,-4,1 -69,-3,1 -69,-2,1 -69,-1,1 -69,0,1 -68,-19,1 -68,-18,1 -68,-17,1 -68,-16,1 -68,-15,1 -68,-14,1 -68,-13,1 -68,-12,1 -68,-11,1 -68,-10,1 -68,-9,1 -68,-8,1 -68,-7,1 -68,-6,1 -68,-5,1 -68,-4,1 -68,-3,1 -68,-2,1 -68,-1,1 -68,0,1 -68,1,1 -68,2,1 -68,3,1 -68,5,1 -68,6,1 -68,7,1 -68,8,1 -67,-14,1 -67,-12,1 -67,-11,1 -67,-10,1 -67,-9,1 -67,-8,1 -67,-7,1 -67,-6,1 -67,-5,1 -67,-4,1 -67,-3,1 -67,-2,1 -67,-1,1 -67,0,1 -67,1,1 -67,2,1 -67,3,1 -67,4,1 -67,5,1 -67,6,1 -67,7,1 -67,8,1 -67,9,1 -67,10,1 -67,11,1 -66,-12,1 -66,-10,1 -66,-9,1 -66,-8,1 -66,-7,1 -66,-6,1 -66,-5,1 -66,-4,1 -66,-3,1 -66,-2,1 -66,-1,1 -66,0,1 -66,1,1 -66,2,1 -66,3,1 -66,4,1 -66,5,1 -66,6,1 -66,7,1 -66,8,1 -66,9,1 -66,10,1 -66,11,1 -66,12,1 -66,13,1 -66,14,1 -65,-6,1 -65,-5,1 -65,-4,1 -65,-3,1 -65,-2,1 -65,-1,1 -65,0,1 -65,1,1 -65,2,1 -65,3,1 -65,4,1 -65,5,1 -65,6,1 -65,7,1 -65,8,1 -65,9,1 -65,10,1 -65,11,1 -65,12,1 -65,13,1 -65,14,1 -65,15,1 -65,16,1 -65,17,1 -65,18,1 -65,20,1 -64,-3,1 -64,-1,1 -64,0,1 -64,1,1 -64,2,1 -64,3,1 -64,4,1 -64,5,1 -64,6,1 -64,7,1 -64,8,1 -64,9,1 -64,10,1 -64,11,1 -64,12,1 -64,13,1 -64,14,1 -64,15,1 -64,16,1 -64,17,1 -64,18,1 -64,19,1 -64,20,1 -64,21,1 -64,22,1 -63,2,1 -63,3,1 -63,4,1 -63,5,1 -63,6,1 -63,7,1 -63,8,1 -63,9,1 -63,10,1 -63,11,1 -63,12,1 -63,13,1 -63,14,1 -63,15,1 -63,16,1 -63,17,1 -63,18,1 -63,19,1 -63,20,1 -63,21,1 -63,22,1 -63,23,1 -62,6,1 -62,7,1 -62,8,1 -62,9,1 -62,10,1 -62,11,1 -62,12,1 -62,13,1 -62,14,1 -62,15,1 -62,16,1 -62,17,1 -62,18,1 -62,19,1 -62,20,1 -62,21,1 -62,22,1 -62,23,1 -62,24,1 -62,25,1 -62,26,1 -62,27,1 -62,28,1 -62,29,1 -62,30,1 -61,11,1 -61,12,1 -61,13,1 -61,14,1 -61,15,1 -61,16,1 -61,17,1 -61,18,1 -61,19,1 -61,20,1 -61,21,1 -61,22,1 -61,23,1 -61,24,1 -61,25,1 -61,26,1 -61,27,1 -61,28,1 -61,29,1 -61,30,1 -61,31,1 -61,34,1 -60,15,1 -60,16,1 -60,17,1 -60,18,1 -60,19,1 -60,20,1 -60,21,1 -60,22,1 -60,23,1 -60,24,1 -60,25,1 -60,26,1 -60,27,1 -60,28,1 -60,29,1 -60,30,1 -60,31,1 -60,32,1 -60,33,1 -60,34,1 -60,35,1 -60,36,1 -59,20,1 -59,21,1 -59,22,1 -59,23,1 -59,24,1 -59,25,1 -59,26,1 -59,27,1 -59,28,1 -59,29,1 -59,30,1 -59,31,1 -59,32,1 -59,33,1 -59,34,1 -59,35,1 -59,36,1 -59,38,1 -59,39,1 -59,40,1 -59,41,1 -58,25,1 -58,26,1 -58,27,1 -58,28,1 -58,29,1 -58,30,1 -58,31,1 -58,32,1 -58,33,1 -58,34,1 -58,35,1 -58,36,1 -58,37,1 -58,38,1 -58,39,1 -58,40,1 -58,41,1 -58,42,1 -58,43,1 -58,44,1 -58,45,1 -57,30,1 -57,31,1 -57,32,1 -57,33,1 -57,34,1 -57,35,1 -57,36,1 -57,37,1 -57,38,1 -57,39,1 -57,40,1 -57,41,1 -57,42,1 -57,43,1 -57,44,1 -57,45,1 -57,46,1 -56,33,1 -56,34,1 -56,35,1 -56,36,1 -56,37,1 -56,38,1 -56,39,1 -56,40,1 -56,41,1 -56,42,1 -56,43,1 -56,44,1 -56,45,1 -56,46,1 -56,47,1 -56,48,1 -56,49,1 -55,38,1 -55,39,1 -55,40,1 -55,41,1 -55,42,1 -55,43,1 -55,44,1 -55,45,1 -55,46,1 -55,47,1 -55,48,1 -55,49,1 -55,50,1 -55,52,1 -54,42,1 -54,43,1 -54,44,1 -54,45,1 -54,46,1 -54,47,1 -54,48,1 -54,49,1 -54,50,1 -54,51,1 -54,52,1 -54,53,1 -53,4,1 -53,5,1 -53,44,1 -53,45,1 -53,46,1 -53,47,1 -53,48,1 -53,49,1 -53,50,1 -53,51,1 -53,52,1 -53,53,1 -53,54,1 -53,55,1 -52,2,1 -52,3,1 -52,4,1 -52,5,1 -52,6,1 -52,7,1 -52,8,1 -52,9,1 -52,46,1 -52,47,1 -52,48,1 -52,49,1 -52,50,1 -52,51,1 -52,52,1 -52,53,1 -52,54,1 -52,55,1 -52,56,1 -51,9,1 -51,10,1 -51,11,1 -51,12,1 -51,49,1 -51,50,1 -51,51,1 -51,52,1 -51,53,1 -51,54,1 -51,55,1 -51,56,1 -50,12,1 -50,13,1 -50,14,1 -50,15,1 -50,16,1 -50,50,1 -50,51,1 -50,52,1 -50,53,1 -50,54,1 -50,55,1 -50,56,1 -50,57,1 -49,14,1 -49,15,1 -49,16,1 -49,17,1 -49,18,1 -49,19,1 -49,20,1 -49,51,1 -49,52,1 -49,53,1 -49,54,1 -49,55,1 -49,56,1 -49,57,1 -48,20,1 -48,21,1 -48,22,1 -48,23,1 -48,24,1 -48,52,1 -48,53,1 -48,54,1 -48,55,1 -48,56,1 -48,57,1 -47,24,1 -47,25,1 -47,26,1 -47,27,1 -47,28,1 -47,54,1 -47,55,1 -47,56,1 -47,57,1 -47,58,1 -47,59,1 -46,27,1 -46,28,1 -46,29,1 -46,30,1 -46,31,1 -46,54,1 -46,55,1 -46,56,1 -46,57,1 -46,58,1 -46,59,1 -46,60,1 -45,-21,1 -45,-20,1 -45,-19,1 -45,-18,1 -45,30,1 -45,32,1 -45,33,1 -45,34,1 -45,35,1 -45,55,1 -45,56,1 -45,57,1 -45,58,1 -45,59,1 -45,60,1 -44,-21,1 -44,-20,1 -44,-19,1 -44,-18,1 -44,-17,1 -44,-15,1 -44,35,1 -44,36,1 -44,37,1 -44,38,1 -44,39,1 -44,56,1 -44,57,1 -44,58,1 -44,59,1 -44,60,1 -43,-21,1 -43,-20,1 -43,-19,1 -43,-18,1 -43,-17,1 -43,-15,1 -43,39,1 -43,40,1 -43,41,1 -43,42,1 -43,43,1 -43,56,1 -43,57,1 -43,58,1 -43,59,1 -42,-22,1 -42,-21,1 -42,-20,1 -42,-19,1 -42,-18,1 -42,-17,1 -42,-16,1 -42,-6,1 -42,-5,1 -42,42,1 -42,43,1 -42,44,1 -42,45,1 -42,46,1 -42,47,1 -42,56,1 -42,57,1 -42,58,1 -42,59,1 -42,60,1 -42,61,1 -41,-22,1 -41,-21,1 -41,-20,1 -41,-19,1 -41,-18,1 -41,-17,1 -41,-16,1 -41,-6,1 -41,-5,1 -41,-4,1 -41,-3,1 -41,46,1 -41,47,1 -41,48,1 -41,49,1 -41,50,1 -41,51,1 -41,52,1 -41,56,1 -41,57,1 -41,58,1 -41,59,1 -41,60,1 -41,61,1 -41,62,1 -40,-22,1 -40,-21,1 -40,-20,1 -40,-19,1 -40,-18,1 -40,-17,1 -40,-16,1 -40,-6,1 -40,-5,1 -40,-4,1 -40,-3,1 -40,-2,1 -40,-1,1 -40,50,1 -40,51,1 -40,52,1 -40,53,1 -40,57,1 -40,58,1 -40,59,1 -40,60,1 -40,61,1 -39,-22,1 -39,-21,1 -39,-17,1 -39,-16,1 -39,-6,1 -39,-5,1 -39,-4,1 -39,-3,1 -39,-2,1 -39,-1,1 -39,0,1 -39,1,1 -39,2,1 -39,3,1 -39,54,1 -39,58,1 -39,59,1 -39,60,1 -39,61,1 -39,62,1 -38,-22,1 -38,-16,1 -38,-5,1 -38,-4,1 -38,-3,1 -38,1,1 -38,2,1 -38,3,1 -38,54,1 -38,55,1 -38,56,1 -38,58,1 -38,59,1 -38,60,1 -38,61,1 -38,62,1 -38,63,1 -37,-22,1 -37,-5,1 -37,-4,1 -37,-1,1 -37,0,1 -37,1,1 -37,2,1 -37,3,1 -37,13,1 -37,55,1 -37,56,1 -37,57,1 -37,58,1 -37,59,1 -37,60,1 -37,61,1 -37,62,1 -37,63,1 -36,-3,1 -36,-2,1 -36,11,1 -36,12,1 -36,13,1 -36,14,1 -36,17,1 -36,56,1 -36,57,1 -36,58,1 -36,59,1 -36,60,1 -36,61,1 -36,62,1 -35,-22,1 -35,11,1 -35,12,1 -35,13,1 -35,14,1 -35,16,1 -35,17,1 -35,18,1 -35,19,1 -35,20,1 -35,21,1 -35,56,1 -35,57,1 -35,58,1 -35,59,1 -35,60,1 -35,61,1 -35,62,1 -34,-22,1 -34,-21,1 -34,11,1 -34,12,1 -34,13,1 -34,14,1 -34,16,1 -34,17,1 -34,18,1 -34,19,1 -34,20,1 -34,21,1 -34,22,1 -34,23,1 -34,24,1 -34,51,1 -34,52,1 -34,53,1 -34,54,1 -34,55,1 -34,56,1 -34,57,1 -34,58,1 -34,59,1 -34,60,1 -34,61,1 -34,62,1 -33,-22,1 -33,-21,1 -33,11,1 -33,12,1 -33,13,1 -33,14,1 -33,16,1 -33,18,1 -33,20,1 -33,21,1 -33,22,1 -33,23,1 -33,24,1 -33,51,1 -33,52,1 -33,53,1 -33,54,1 -33,55,1 -33,57,1 -33,58,1 -33,59,1 -33,60,1 -33,61,1 -33,62,1 -32,-22,1 -32,-21,1 -32,13,1 -32,14,1 -32,17,1 -32,19,1 -32,20,1 -32,21,1 -32,22,1 -32,23,1 -32,24,1 -32,50,1 -32,51,1 -32,52,1 -32,53,1 -32,54,1 -32,55,1 -32,57,1 -32,58,1 -32,59,1 -32,60,1 -32,61,1 -32,62,1 -31,-22,1 -31,-21,1 -31,20,1 -31,21,1 -31,22,1 -31,23,1 -31,24,1 -31,26,1 -31,32,1 -31,33,1 -31,34,1 -31,35,1 -31,36,1 -31,51,1 -31,52,1 -31,53,1 -31,54,1 -31,55,1 -31,56,1 -31,57,1 -31,58,1 -31,59,1 -31,60,1 -31,61,1 -31,62,1 -30,19,1 -30,20,1 -30,21,1 -30,22,1 -30,23,1 -30,24,1 -30,25,1 -30,32,1 -30,33,1 -30,34,1 -30,35,1 -30,36,1 -30,37,1 -30,38,1 -30,39,1 -30,40,1 -30,51,1 -30,52,1 -30,53,1 -30,54,1 -30,55,1 -30,56,1 -30,58,1 -30,59,1 -30,60,1 -30,61,1 -30,62,1 -29,20,1 -29,21,1 -29,22,1 -29,23,1 -29,24,1 -29,25,1 -29,26,1 -29,32,1 -29,33,1 -29,34,1 -29,35,1 -29,36,1 -29,37,1 -29,38,1 -29,39,1 -29,40,1 -29,41,1 -29,51,1 -29,52,1 -29,53,1 -29,54,1 -29,55,1 -29,56,1 -29,57,1 -29,58,1 -29,59,1 -29,60,1 -29,61,1 -29,62,1 -28,-22,1 -28,-16,1 -28,19,1 -28,20,1 -28,21,1 -28,22,1 -28,23,1 -28,24,1 -28,25,1 -28,33,1 -28,34,1 -28,35,1 -28,36,1 -28,38,1 -28,39,1 -28,40,1 -28,41,1 -28,51,1 -28,52,1 -28,53,1 -28,54,1 -28,55,1 -28,56,1 -28,58,1 -28,59,1 -28,60,1 -28,61,1 -28,62,1 -27,-22,1 -27,-21,1 -27,-16,1 -27,24,1 -27,33,1 -27,34,1 -27,35,1 -27,36,1 -27,38,1 -27,39,1 -27,40,1 -27,41,1 -27,42,1 -27,51,1 -27,52,1 -27,53,1 -27,54,1 -27,55,1 -27,56,1 -27,57,1 -27,58,1 -27,59,1 -27,60,1 -27,61,1 -27,62,1 -26,-22,1 -26,-21,1 -26,-20,1 -26,-19,1 -26,-18,1 -26,-17,1 -26,-16,1 -26,24,1 -26,33,1 -26,34,1 -26,35,1 -26,36,1 -26,38,1 -26,40,1 -26,41,1 -26,42,1 -26,43,1 -26,50,1 -26,51,1 -26,52,1 -26,53,1 -26,54,1 -26,55,1 -26,56,1 -26,57,1 -26,58,1 -26,59,1 -26,60,1 -26,61,1 -26,62,1 -25,-22,1 -25,-21,1 -25,-19,1 -25,-17,1 -25,-16,1 -25,19,1 -25,20,1 -25,21,1 -25,22,1 -25,23,1 -25,24,1 -25,25,1 -25,32,1 -25,33,1 -25,34,1 -25,35,1 -25,36,1 -25,37,1 -25,38,1 -25,39,1 -25,40,1 -25,41,1 -25,42,1 -25,43,1 -25,51,1 -25,52,1 -25,53,1 -25,54,1 -25,57,1 -25,58,1 -25,59,1 -25,60,1 -25,61,1 -25,62,1 -24,-22,1 -24,-21,1 -24,-19,1 -24,-18,1 -24,-17,1 -24,-16,1 -24,20,1 -24,21,1 -24,22,1 -24,23,1 -24,24,1 -24,25,1 -24,32,1 -24,33,1 -24,34,1 -24,35,1 -24,36,1 -24,37,1 -24,38,1 -24,39,1 -24,40,1 -24,41,1 -24,42,1 -24,43,1 -24,53,1 -24,54,1 -24,55,1 -24,56,1 -24,57,1 -24,58,1 -24,59,1 -24,60,1 -24,61,1 -24,62,1 -23,-20,1 -23,-19,1 -23,-18,1 -23,-17,1 -23,-16,1 -23,19,1 -23,20,1 -23,21,1 -23,22,1 -23,23,1 -23,24,1 -23,25,1 -23,32,1 -23,33,1 -23,34,1 -23,35,1 -23,36,1 -23,37,1 -23,38,1 -23,39,1 -23,40,1 -23,41,1 -23,42,1 -23,51,1 -23,52,1 -23,53,1 -23,54,1 -23,55,1 -23,56,1 -23,57,1 -23,58,1 -23,59,1 -23,60,1 -23,61,1 -23,62,1 -22,-17,1 -22,-16,1 -22,23,1 -22,24,1 -22,35,1 -22,38,1 -22,39,1 -22,40,1 -22,41,1 -22,42,1 -22,50,1 -22,51,1 -22,52,1 -22,53,1 -22,54,1 -22,55,1 -22,56,1 -22,57,1 -22,58,1 -22,59,1 -22,60,1 -22,61,1 -22,62,1 -21,-21,1 -21,-20,1 -21,-19,1 -21,-18,1 -21,-17,1 -21,-16,1 -21,24,1 -21,38,1 -21,39,1 -21,40,1 -21,41,1 -21,42,1 -21,50,1 -21,51,1 -21,52,1 -21,53,1 -21,54,1 -21,55,1 -21,56,1 -21,58,1 -21,59,1 -21,60,1 -21,61,1 -21,62,1 -21,63,1 -20,-22,1 -20,-21,1 -20,-16,1 -20,19,1 -20,20,1 -20,21,1 -20,22,1 -20,23,1 -20,24,1 -20,39,1 -20,40,1 -20,42,1 -20,51,1 -20,52,1 -20,53,1 -20,54,1 -20,55,1 -20,56,1 -20,57,1 -20,58,1 -20,59,1 -20,60,1 -20,61,1 -20,62,1 -20,63,1 -19,-22,1 -19,-21,1 -19,-16,1 -19,19,1 -19,20,1 -19,21,1 -19,22,1 -19,23,1 -19,24,1 -19,25,1 -19,33,1 -19,34,1 -19,41,1 -19,42,1 -19,51,1 -19,52,1 -19,53,1 -19,54,1 -19,55,1 -19,57,1 -19,58,1 -19,59,1 -19,60,1 -19,61,1 -19,62,1 -19,63,1 -18,-22,1 -18,-21,1 -18,19,1 -18,20,1 -18,21,1 -18,22,1 -18,23,1 -18,24,1 -18,25,1 -18,32,1 -18,33,1 -18,34,1 -18,35,1 -18,37,1 -18,39,1 -18,40,1 -18,41,1 -18,51,1 -18,52,1 -18,53,1 -18,54,1 -18,55,1 -18,57,1 -18,58,1 -18,59,1 -18,60,1 -18,61,1 -18,62,1 -17,-22,1 -17,-21,1 -17,-20,1 -17,-6,1 -17,-5,1 -17,-4,1 -17,-3,1 -17,19,1 -17,20,1 -17,21,1 -17,22,1 -17,23,1 -17,24,1 -17,31,1 -17,32,1 -17,33,1 -17,34,1 -17,35,1 -17,36,1 -17,37,1 -17,38,1 -17,39,1 -17,40,1 -17,41,1 -17,42,1 -17,43,1 -17,50,1 -17,51,1 -17,52,1 -17,53,1 -17,54,1 -17,55,1 -17,56,1 -17,57,1 -17,58,1 -17,59,1 -17,60,1 -17,61,1 -17,62,1 -16,-23,1 -16,-22,1 -16,-21,1 -16,-5,1 -16,-4,1 -16,-3,1 -16,-2,1 -16,21,1 -16,22,1 -16,23,1 -16,31,1 -16,32,1 -16,33,1 -16,34,1 -16,35,1 -16,36,1 -16,37,1 -16,38,1 -16,39,1 -16,40,1 -16,41,1 -16,42,1 -16,43,1 -16,49,1 -16,50,1 -16,51,1 -16,52,1 -16,53,1 -16,54,1 -16,55,1 -16,56,1 -16,57,1 -16,58,1 -16,59,1 -16,60,1 -16,61,1 -16,62,1 -15,-6,1 -15,-5,1 -15,-4,1 -15,-3,1 -15,-2,1 -15,20,1 -15,21,1 -15,22,1 -15,23,1 -15,30,1 -15,31,1 -15,32,1 -15,33,1 -15,34,1 -15,35,1 -15,36,1 -15,37,1 -15,38,1 -15,39,1 -15,40,1 -15,41,1 -15,42,1 -15,50,1 -15,51,1 -15,52,1 -15,53,1 -15,54,1 -15,55,1 -15,56,1 -15,57,1 -15,58,1 -15,59,1 -15,60,1 -15,61,1 -15,62,1 -14,19,1 -14,20,1 -14,21,1 -14,22,1 -14,23,1 -14,24,1 -14,33,1 -14,35,1 -14,36,1 -14,38,1 -14,39,1 -14,40,1 -14,52,1 -14,53,1 -14,54,1 -14,55,1 -14,56,1 -14,57,1 -14,58,1 -14,59,1 -14,60,1 -14,61,1 -14,62,1 -13,-22,1 -13,-21,1 -13,20,1 -13,21,1 -13,22,1 -13,23,1 -13,24,1 -13,40,1 -13,41,1 -13,52,1 -13,53,1 -13,54,1 -13,55,1 -13,56,1 -13,57,1 -13,58,1 -13,59,1 -13,60,1 -13,61,1 -13,62,1 -13,63,1 -12,-22,1 -12,-21,1 -12,33,1 -12,34,1 -12,40,1 -12,41,1 -12,42,1 -12,51,1 -12,52,1 -12,53,1 -12,54,1 -12,55,1 -12,56,1 -12,57,1 -12,58,1 -12,59,1 -12,60,1 -12,61,1 -12,62,1 -12,63,1 -11,-22,1 -11,-21,1 -11,19,1 -11,32,1 -11,33,1 -11,34,1 -11,35,1 -11,36,1 -11,37,1 -11,39,1 -11,40,1 -11,41,1 -11,42,1 -11,51,1 -11,52,1 -11,53,1 -11,54,1 -11,55,1 -11,56,1 -11,57,1 -11,58,1 -11,59,1 -11,60,1 -11,61,1 -11,62,1 -11,63,1 -10,-18,1 -10,-17,1 -10,-1,1 -10,0,1 -10,1,1 -10,14,1 -10,15,1 -10,16,1 -10,19,1 -10,32,1 -10,33,1 -10,34,1 -10,35,1 -10,36,1 -10,37,1 -10,38,1 -10,39,1 -10,40,1 -10,41,1 -10,42,1 -10,51,1 -10,52,1 -10,53,1 -10,54,1 -10,55,1 -10,56,1 -10,57,1 -10,58,1 -10,59,1 -10,60,1 -10,61,1 -10,62,1 -10,63,1 -9,-19,1 -9,-18,1 -9,-17,1 -9,-16,1 -9,-7,1 -9,-6,1 -9,-5,1 -9,-4,1 -9,-3,1 -9,-2,1 -9,-1,1 -9,0,1 -9,1,1 -9,2,1 -9,13,1 -9,14,1 -9,15,1 -9,16,1 -9,17,1 -9,18,1 -9,19,1 -9,20,1 -9,21,1 -9,22,1 -9,23,1 -9,24,1 -9,32,1 -9,33,1 -9,34,1 -9,35,1 -9,36,1 -9,37,1 -9,38,1 -9,39,1 -9,40,1 -9,41,1 -9,42,1 -9,43,1 -9,56,1 -9,57,1 -9,58,1 -9,59,1 -9,60,1 -9,61,1 -9,62,1 -9,63,1 -8,-19,1 -8,-18,1 -8,-17,1 -8,-16,1 -8,-15,1 -8,-7,1 -8,-6,1 -8,-5,1 -8,-4,1 -8,-3,1 -8,-2,1 -8,-1,1 -8,0,1 -8,1,1 -8,2,1 -8,13,1 -8,14,1 -8,15,1 -8,16,1 -8,17,1 -8,18,1 -8,19,1 -8,20,1 -8,21,1 -8,22,1 -8,23,1 -8,24,1 -8,31,1 -8,32,1 -8,33,1 -8,34,1 -8,35,1 -8,36,1 -8,38,1 -8,39,1 -8,40,1 -8,41,1 -8,42,1 -8,43,1 -8,55,1 -8,56,1 -8,57,1 -8,58,1 -8,59,1 -8,60,1 -8,61,1 -8,62,1 -8,63,1 -7,-20,1 -7,-18,1 -7,-17,1 -7,-16,1 -7,-15,1 -7,-7,1 -7,-6,1 -7,-5,1 -7,-4,1 -7,-3,1 -7,-2,1 -7,-1,1 -7,0,1 -7,1,1 -7,2,1 -7,3,1 -7,13,1 -7,14,1 -7,15,1 -7,16,1 -7,17,1 -7,18,1 -7,19,1 -7,20,1 -7,21,1 -7,22,1 -7,23,1 -7,24,1 -7,31,1 -7,32,1 -7,33,1 -7,34,1 -7,35,1 -7,36,1 -7,37,1 -7,38,1 -7,39,1 -7,40,1 -7,41,1 -7,42,1 -7,55,1 -7,56,1 -7,57,1 -7,58,1 -7,59,1 -7,60,1 -7,61,1 -7,62,1 -6,-24,1 -6,-22,1 -6,-21,1 -6,-18,1 -6,-17,1 -6,-16,1 -6,-7,1 -6,-6,1 -6,-5,1 -6,-4,1 -6,-3,1 -6,-2,1 -6,-1,1 -6,0,1 -6,1,1 -6,2,1 -6,3,1 -6,14,1 -6,15,1 -6,16,1 -6,18,1 -6,19,1 -6,20,1 -6,21,1 -6,22,1 -6,32,1 -6,33,1 -6,34,1 -6,35,1 -6,36,1 -6,37,1 -6,38,1 -6,39,1 -6,40,1 -6,41,1 -6,56,1 -6,57,1 -6,58,1 -6,59,1 -6,60,1 -6,61,1 -6,62,1 -6,63,1 -5,-23,1 -5,-22,1 -5,-21,1 -5,-18,1 -5,-6,1 -5,-5,1 -5,31,1 -5,32,1 -5,33,1 -5,34,1 -5,35,1 -5,36,1 -5,37,1 -5,38,1 -5,40,1 -5,41,1 -5,56,1 -5,57,1 -5,58,1 -5,59,1 -5,60,1 -5,61,1 -5,62,1 -5,63,1 -4,-23,1 -4,-22,1 -4,-21,1 -4,-6,1 -4,22,1 -4,31,1 -4,32,1 -4,33,1 -4,34,1 -4,35,1 -4,36,1 -4,37,1 -4,38,1 -4,39,1 -4,40,1 -4,41,1 -4,42,1 -4,43,1 -4,56,1 -4,57,1 -4,58,1 -4,59,1 -4,60,1 -4,61,1 -4,62,1 -3,-24,1 -3,-23,1 -3,-22,1 -3,18,1 -3,19,1 -3,20,1 -3,21,1 -3,22,1 -3,23,1 -3,24,1 -3,25,1 -3,33,1 -3,34,1 -3,35,1 -3,36,1 -3,37,1 -3,38,1 -3,39,1 -3,40,1 -3,41,1 -3,42,1 -3,43,1 -3,56,1 -3,57,1 -3,58,1 -3,59,1 -3,60,1 -3,61,1 -3,62,1 -2,-22,1 -2,-21,1 -2,19,1 -2,20,1 -2,21,1 -2,22,1 -2,23,1 -2,24,1 -2,25,1 -2,26,1 -2,33,1 -2,34,1 -2,35,1 -2,38,1 -2,39,1 -2,40,1 -2,41,1 -2,42,1 -2,56,1 -2,58,1 -2,59,1 -2,60,1 -2,61,1 -2,62,1 -1,-22,1 -1,-21,1 -1,19,1 -1,20,1 -1,21,1 -1,22,1 -1,23,1 -1,24,1 -1,25,1 -1,26,1 -1,32,1 -1,33,1 -1,34,1 -1,35,1 -1,39,1 -1,40,1 -1,41,1 -1,42,1 -1,56,1 -1,57,1 -1,58,1 -1,59,1 -1,60,1 -1,61,1 -1,62,1 -1,63,1 0,-23,1 0,-22,1 0,-21,1 0,16,1 0,24,1 0,32,1 0,33,1 0,34,1 0,35,1 0,36,1 0,37,1 0,38,1 0,39,1 0,40,1 0,41,1 0,42,1 0,43,1 0,56,1 0,57,1 0,58,1 0,59,1 0,60,1 0,61,1 0,62,1 0,63,1 1,-22,1 1,-21,1 1,21,1 1,22,1 1,23,1 1,24,1 1,32,1 1,33,1 1,34,1 1,35,1 1,36,1 1,37,1 1,38,1 1,39,1 1,40,1 1,41,1 1,42,1 1,56,1 1,57,1 1,58,1 1,59,1 1,60,1 1,61,1 1,62,1 2,-24,1 2,-23,1 2,-22,1 2,19,1 2,20,1 2,21,1 2,22,1 2,23,1 2,24,1 2,25,1 2,26,1 2,33,1 2,34,1 2,35,1 2,39,1 2,40,1 2,41,1 2,56,1 2,57,1 2,58,1 2,59,1 2,60,1 2,61,1 2,62,1 3,-21,1 3,20,1 3,21,1 3,22,1 3,23,1 3,24,1 3,25,1 3,26,1 3,39,1 3,40,1 3,41,1 3,42,1 3,56,1 3,57,1 3,58,1 3,59,1 3,60,1 3,61,1 3,62,1 4,-22,1 4,-21,1 4,19,1 4,20,1 4,21,1 4,22,1 4,23,1 4,24,1 4,33,1 4,34,1 4,35,1 4,37,1 4,38,1 4,39,1 4,40,1 4,41,1 4,42,1 4,43,1 4,44,1 4,56,1 4,57,1 4,58,1 4,59,1 4,60,1 4,61,1 4,62,1 4,63,1 5,-23,1 5,-22,1 5,-21,1 5,-7,1 5,-6,1 5,-5,1 5,-4,1 5,-3,1 5,13,1 5,14,1 5,15,1 5,16,1 5,20,1 5,21,1 5,22,1 5,23,1 5,24,1 5,33,1 5,34,1 5,35,1 5,36,1 5,37,1 5,38,1 5,39,1 5,40,1 5,41,1 5,42,1 5,43,1 5,56,1 5,57,1 5,58,1 5,59,1 5,60,1 5,61,1 5,62,1 5,63,1 6,-23,1 6,-22,1 6,-21,1 6,-3,1 6,13,1 6,14,1 6,15,1 6,16,1 6,19,1 6,20,1 6,21,1 6,22,1 6,23,1 6,24,1 6,33,1 6,34,1 6,35,1 6,36,1 6,37,1 6,38,1 6,39,1 6,40,1 6,41,1 6,42,1 6,43,1 6,56,1 6,57,1 6,58,1 6,59,1 6,60,1 6,61,1 6,62,1 6,63,1 7,-23,1 7,-22,1 7,-6,1 7,-5,1 7,-4,1 7,-3,1 7,13,1 7,14,1 7,15,1 7,16,1 7,19,1 7,20,1 7,21,1 7,22,1 7,23,1 7,33,1 7,34,1 7,35,1 7,36,1 7,37,1 7,38,1 7,39,1 7,40,1 7,41,1 7,42,1 7,43,1 7,56,1 7,57,1 7,58,1 7,59,1 7,60,1 7,61,1 7,62,1 8,-6,1 8,-5,1 8,-4,1 8,-3,1 8,16,1 8,19,1 8,31,1 8,32,1 8,33,1 8,34,1 8,35,1 8,36,1 8,37,1 8,38,1 8,39,1 8,40,1 8,41,1 8,42,1 8,56,1 8,57,1 8,58,1 8,59,1 8,60,1 8,61,1 8,62,1 9,-21,1 9,19,1 9,21,1 9,31,1 9,32,1 9,33,1 9,34,1 9,35,1 9,36,1 9,37,1 9,38,1 9,39,1 9,40,1 9,41,1 9,42,1 9,56,1 9,57,1 9,58,1 9,59,1 9,60,1 9,61,1 9,62,1 10,-22,1 10,-21,1 10,-7,1 10,-6,1 10,-5,1 10,-4,1 10,-3,1 10,-2,1 10,-1,1 10,0,1 10,1,1 10,2,1 10,3,1 10,4,1 10,20,1 10,21,1 10,22,1 10,23,1 10,24,1 10,25,1 10,32,1 10,33,1 10,34,1 10,35,1 10,36,1 10,37,1 10,38,1 10,39,1 10,40,1 10,41,1 10,42,1 10,43,1 10,56,1 10,58,1 10,59,1 10,60,1 10,61,1 10,62,1 11,-22,1 11,-21,1 11,-7,1 11,-6,1 11,-5,1 11,-4,1 11,-2,1 11,-1,1 11,0,1 11,1,1 11,2,1 11,3,1 11,4,1 11,19,1 11,20,1 11,21,1 11,22,1 11,23,1 11,24,1 11,25,1 11,26,1 11,32,1 11,33,1 11,34,1 11,35,1 11,36,1 11,37,1 11,38,1 11,39,1 11,40,1 11,41,1 11,42,1 11,43,1 11,56,1 11,57,1 11,58,1 11,59,1 11,60,1 11,61,1 12,-22,1 12,-21,1 12,-7,1 12,-6,1 12,-5,1 12,-4,1 12,-3,1 12,-2,1 12,-1,1 12,0,1 12,1,1 12,2,1 12,3,1 12,4,1 12,19,1 12,20,1 12,21,1 12,22,1 12,23,1 12,24,1 12,32,1 12,33,1 12,34,1 12,35,1 12,36,1 12,37,1 12,38,1 12,39,1 12,40,1 12,41,1 12,42,1 12,43,1 12,56,1 12,57,1 12,58,1 12,59,1 12,60,1 12,61,1 12,62,1 13,-22,1 13,-21,1 13,-7,1 13,-6,1 13,-5,1 13,-4,1 13,-3,1 13,-2,1 13,-1,1 13,0,1 13,1,1 13,2,1 13,3,1 13,4,1 13,20,1 13,21,1 13,22,1 13,23,1 13,32,1 13,33,1 13,34,1 13,35,1 13,36,1 13,37,1 13,56,1 13,57,1 13,58,1 13,59,1 13,60,1 13,61,1 13,62,1 14,-22,1 14,16,1 14,19,1 14,20,1 14,21,1 14,22,1 14,23,1 14,56,1 14,57,1 14,58,1 14,59,1 14,60,1 14,61,1 14,62,1 15,-23,1 15,-22,1 15,-21,1 15,19,1 15,20,1 15,21,1 15,22,1 15,23,1 15,35,1 15,36,1 15,37,1 15,38,1 15,39,1 15,40,1 15,41,1 15,42,1 15,43,1 15,44,1 15,56,1 15,57,1 15,58,1 15,59,1 15,60,1 15,61,1 15,62,1 16,-23,1 16,-22,1 16,-21,1 16,16,1 16,19,1 16,21,1 16,22,1 16,23,1 16,32,1 16,33,1 16,34,1 16,35,1 16,36,1 16,37,1 16,38,1 16,39,1 16,40,1 16,41,1 16,42,1 16,43,1 16,44,1 16,56,1 16,58,1 16,59,1 16,60,1 16,61,1 16,62,1 17,-23,1 17,-22,1 17,-21,1 17,16,1 17,19,1 17,21,1 17,22,1 17,32,1 17,33,1 17,34,1 17,35,1 17,36,1 17,37,1 17,38,1 17,39,1 17,40,1 17,41,1 17,42,1 17,43,1 17,44,1 17,56,1 17,57,1 17,58,1 17,59,1 17,60,1 17,61,1 17,62,1 18,-22,1 18,-21,1 18,21,1 18,22,1 18,32,1 18,33,1 18,34,1 18,35,1 18,36,1 18,37,1 18,38,1 18,39,1 18,40,1 18,41,1 18,42,1 18,43,1 18,56,1 18,58,1 18,59,1 18,60,1 18,61,1 19,-22,1 19,-21,1 19,20,1 19,21,1 19,22,1 19,23,1 19,24,1 19,32,1 19,33,1 19,34,1 19,35,1 19,36,1 19,37,1 19,38,1 19,39,1 19,40,1 19,41,1 19,42,1 19,43,1 19,56,1 19,58,1 19,59,1 19,60,1 19,61,1 20,-22,1 20,-21,1 20,20,1 20,21,1 20,22,1 20,23,1 20,24,1 20,33,1 20,40,1 20,56,1 20,58,1 20,59,1 20,60,1 20,61,1 21,-22,1 21,-21,1 21,20,1 21,21,1 21,22,1 21,23,1 21,24,1 21,56,1 21,58,1 21,59,1 21,60,1 21,61,1 22,-22,1 22,-21,1 22,20,1 22,21,1 22,22,1 22,23,1 22,56,1 22,57,1 22,58,1 22,59,1 22,60,1 22,61,1 22,62,1 23,-22,1 23,-21,1 23,19,1 23,20,1 23,21,1 23,22,1 23,23,1 23,24,1 23,25,1 23,55,1 23,56,1 23,58,1 23,59,1 23,60,1 23,61,1 23,62,1 24,-22,1 24,-21,1 24,17,1 24,18,1 24,19,1 24,20,1 24,21,1 24,22,1 24,23,1 24,24,1 24,25,1 24,54,1 24,55,1 24,56,1 24,58,1 24,59,1 24,60,1 24,61,1 24,62,1 25,-22,1 25,-21,1 25,17,1 25,18,1 25,21,1 25,22,1 25,23,1 25,24,1 25,25,1 25,53,1 25,54,1 25,57,1 25,58,1 25,59,1 25,60,1 25,61,1 26,-23,1 26,-22,1 26,-21,1 26,22,1 26,23,1 26,24,1 26,52,1 26,53,1 26,57,1 26,58,1 26,59,1 26,60,1 26,61,1 27,-22,1 27,-21,1 27,17,1 27,51,1 27,52,1 27,54,1 27,55,1 27,57,1 27,58,1 27,59,1 27,60,1 27,61,1 28,-22,1 28,-21,1 28,16,1 28,50,1 28,51,1 28,53,1 28,54,1 28,56,1 28,57,1 28,58,1 28,59,1 28,60,1 28,61,1 29,12,1 29,13,1 29,14,1 29,15,1 29,16,1 29,17,1 29,18,1 29,49,1 29,50,1 29,55,1 29,56,1 29,57,1 29,58,1 29,59,1 29,60,1 29,61,1 30,-22,1 30,13,1 30,14,1 30,15,1 30,16,1 30,17,1 30,18,1 30,19,1 30,38,1 30,42,1 30,48,1 30,49,1 30,54,1 30,55,1 30,56,1 30,57,1 30,58,1 30,59,1 30,60,1 30,61,1 31,-22,1 31,-21,1 31,15,1 31,16,1 31,38,1 31,39,1 31,41,1 31,42,1 31,46,1 31,47,1 31,48,1 31,49,1 31,51,1 31,52,1 31,53,1 31,54,1 31,55,1 31,56,1 31,57,1 31,58,1 31,59,1 32,-22,1 32,-21,1 32,-20,1 32,-19,1 32,-18,1 32,-6,1 32,-5,1 32,0,1 32,1,1 32,2,1 32,14,1 32,19,1 32,20,1 32,21,1 32,32,1 32,33,1 32,34,1 32,35,1 32,36,1 32,37,1 32,38,1 32,39,1 32,40,1 32,41,1 32,42,1 32,43,1 32,44,1 32,45,1 32,46,1 32,47,1 32,48,1 32,49,1 32,50,1 32,51,1 32,52,1 32,53,1 32,54,1 32,55,1 32,56,1 32,57,1 33,-21,1 33,-20,1 33,-19,1 33,-18,1 33,-7,1 33,-6,1 33,-5,1 33,-4,1 33,-3,1 33,-2,1 33,-1,1 33,0,1 33,1,1 33,2,1 33,3,1 33,13,1 33,14,1 33,15,1 33,16,1 33,17,1 33,18,1 33,19,1 33,20,1 33,21,1 33,22,1 33,23,1 33,24,1 33,32,1 33,36,1 33,37,1 33,38,1 33,39,1 33,40,1 33,41,1 33,42,1 33,43,1 33,44,1 33,45,1 33,46,1 33,47,1 33,48,1 33,49,1 33,50,1 33,51,1 33,52,1 33,53,1 33,54,1 33,55,1 33,56,1 34,-20,1 34,-19,1 34,-18,1 34,-17,1 34,-7,1 34,-6,1 34,-5,1 34,-4,1 34,-3,1 34,-2,1 34,-1,1 34,0,1 34,1,1 34,2,1 34,3,1 34,4,1 34,12,1 34,13,1 34,14,1 34,15,1 34,16,1 34,17,1 34,18,1 34,19,1 34,20,1 34,21,1 34,22,1 34,23,1 34,24,1 34,25,1 34,32,1 34,36,1 34,37,1 34,38,1 34,39,1 34,40,1 34,41,1 34,42,1 34,43,1 34,44,1 34,45,1 34,46,1 34,47,1 34,48,1 34,49,1 34,50,1 34,51,1 34,52,1 34,53,1 34,54,1 34,55,1 34,56,1 35,-19,1 35,-18,1 35,-17,1 35,-16,1 35,-6,1 35,-5,1 35,-4,1 35,-3,1 35,-2,1 35,-1,1 35,0,1 35,1,1 35,2,1 35,3,1 35,4,1 35,11,1 35,12,1 35,14,1 35,15,1 35,16,1 35,17,1 35,18,1 35,19,1 35,20,1 35,21,1 35,22,1 35,23,1 35,24,1 35,25,1 35,32,1 35,33,1 35,35,1 35,36,1 35,37,1 35,38,1 35,39,1 35,40,1 35,41,1 35,42,1 35,43,1 35,44,1 35,45,1 35,46,1 35,47,1 35,48,1 35,49,1 35,50,1 35,51,1 35,52,1 35,53,1 35,54,1 35,55,1 35,56,1 35,57,1 35,58,1 35,59,1 36,-18,1 36,-17,1 36,-1,1 36,0,1 36,1,1 36,2,1 36,3,1 36,4,1 36,12,1 36,13,1 36,14,1 36,15,1 36,16,1 36,17,1 36,18,1 36,19,1 36,20,1 36,21,1 36,22,1 36,23,1 36,24,1 36,25,1 36,32,1 36,33,1 36,34,1 36,35,1 36,36,1 36,37,1 36,38,1 36,39,1 36,40,1 36,41,1 36,42,1 36,43,1 36,44,1 36,45,1 36,46,1 36,47,1 36,48,1 36,49,1 36,50,1 36,51,1 36,52,1 36,53,1 36,55,1 36,56,1 36,57,1 36,58,1 36,59,1 37,18,1 37,19,1 37,20,1 37,39,1 37,40,1 37,41,1 37,42,1 37,44,1 37,48,1 37,49,1 38,44,1 38,49,1 38,52,1 39,52,1 ### Task 1 Based on the information above, compute and return the **coordinates of the four corner vertices** of the costmap in world coordinates. ### Task 2 Based on the data of the costmap above, use the **Hybrid A\*** path planning algorithm to compute a smooth, collision-free path from a given **start pose** to a **goal pose**, while considering nonholonomic vehicle constraints. You need to compute and return the path length for Task 2. Please follow these specifications: - Set the state space bounds to match the costmap limits: $x$ from -100 to 100, $y$ from -100 to 100, and orientation $\theta$ from 0 to 2π radians. - Use **Hybrid A\*** planner for path computation. - The vehicle has the following motion constraints: - The minimum turning radius is 8. - The motion primitive length is 10. - The **start pose** is: `(32.5, 27.5, π)` - The **goal pose** is: `(-15, 12, π/2)` In addition to returning the length of the path you calculated, please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating. ### Task 3 Plan a collision-free path using the **Hybrid A\*** algorithm from a new start and goal pose. You need to compute and return the path length for Task 3. - The new **start pose** is: `(30, -12, π)` - The new **goal pose** is: `(-26, 12, π/2)` All other requirements are the same as in Task 2. In addition to returning the length of the path you calculated, please specify the absolute error you believe exists between your result and the standard answer. Retain two decimal places. Round your result instead of truncating.
Yaxin Li
XG_11
482
Control Design
## Task Description Consider the following third-order plant: \[ G(s) = \frac{0.5}{s}\frac{169}{s^2 + 0.26s + 169}, \] Assuming the desired loop bandwidth is $ \omega_{L} = 1 $ rad/sec. An initial loop shaping controller using controller gain and integral boost is given as: - gain: $ K_g = \frac{1}{|G(j\omega_L)|}$, - integral boost: $ K_i(s) = \frac{\beta_b s + \omega_L}{s \sqrt{\beta_b^2 + 1}}$ with $ \beta_b = \sqrt{10}$. And the initial loop shaping controller is: \[ C(s) = K_g \cdot K_i(s) \] ### Task 1 Your first task is to obtain the explicit transfer function of the initial loop shaping controller. Please provide the complete transfer function of \( C(s) \) as part of your response in the form of numerator and denominator coefficients. ### Task 2 The initial design yeilds a unstable closed-loop system since there are additional gain crossings at 12.5 and 13.4 rad/sec. Your task is to build upon the initial controller, add a second-order roll-off element $K_r(s)$. A typical roll-off element has the form: \[ K_r(s) = \frac{F_r(s)}{|F_r(j\omega_r)|}, \] where \( F_r(s) = \frac{(\beta_r \omega_r)^2}{s^2 + \sqrt{2}\beta_r \omega_r s + (\beta_r \omega_r)^2} \). You need to design this roll-off by choosing \( \beta_r \) and \( \omega_r \). Then the final controller is given by: \[ C(s) = K_g \cdot K_i(s) \cdot K_r(s) \]. You need to design the roll-off element to achieve the following requirements: - The closed-loop system is stable. - The desired loop bandwidth is 1 rad/sec. - The closed-loop system should have a phase margin of at least 50 degrees. - The closed-loop system should have a gain margin of at least 3 dB.
Xingang Guo
JY_01
227
Signal Processing
You are a student working in a electrical and computer engineering optics laboratory, focusing on polarization imaging. Your current task and goal is to design and set up an experimental test stand aimed to learn things of the polarized light behaviors. For the setup needed you will be required to use several components. First you will need to use a linear polarizer as the first component. Second, a quarter wave plate is needed. Third, another linear polarizer will be used. These filters will help you understand key features of contrast and attenuation of light. Additionally, three motors will be used to automate the rotation of the filter and wave plate. The goal is to have a reliable and flexible platform for polarization experiments. You are working with a ideal QWP with retardance pi/2 and two ideal linear polarizers, given that the input angle is [1,0.6,0.2,0 ] provide the angels so that the stoke vector of output is [0.3 ,-0.3 , 0, 0 ] Requirements: angle: -180-180 degrees Notes: Are angles are given with respect to the horizontal axis
Jiankun Yang
NS_PA_SS_02
270
Digital Hardware Design
## Task Description You are tasked with designing and implementing a parameterizable Gray‐code sequence generator in Verilog. Your module will produce an n-bit Gray code on each rising edge of a clock, starting from zero after a synchronous, active‐low reset ### Background Gray code (also known as reflected binary code) is an ordering of binary numbers such that two successive values differ in only a single bit. This property is critical in digital interfaces (e.g., rotary encoders, ADCs) to minimize glitching when multiple bits change simultaneously. The standard encoding for an n-bit Gray code can be built by reflecting and prefixing the (n-1)-bit sequence. ### Module Interface Your Verilog source file `code.sv` must declare a module with the following signature, the module SHOULD be named model: module model #(parameter DATA_WIDTH = 4 ) ( input clk, input resetn, output logic [DATA_WIDTH-1:0] out ); N: positive integer ≥ 1, set via parameter. clk: single‐bit input, rising‐edge clock. resetn: synchronous, active‐low reset. When resetn == 0 on the rising edge, dout must be set to zero. dout: N-bit register that holds the current Gray code value.
Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal
NS_PA_SS_05
423
Digital Hardware Design
You are a hardware‐design expert. Write synthesizable SystemVerilog for a one‐cycle bubble‐sort module with parameterizable BITWIDTH, according to the following spec: 1. Parameterization - parameter BITWIDTH = 8; 2. Ports : module NEEDS to be called model module model #( parameter BITWIDTH = 8 ) ( input logic [BITWIDTH-1:0] din, // unsigned input word input logic sortit, // start new sort when asserted input logic clk, // clock input logic resetn, // synchronous, active‐low reset output logic [8*BITWIDTH+1-1:0] dout // concatenated sorted vector + valid bit ); Behavior Data capture: While sortit == 0, register incoming din words into an internal memory of depth 8. Ignore din when sortit == 1. Sort trigger: When sortit goes high, perform an 8‐element bubble sort in one clock cycle on the stored data. The result is a concatenated bitstream of the 8 sorted words in descending order (largest in LSBs, smallest in MSBs). Output: While sortit == 0, dout = 0. On sortit == 1, dout presents the sorted vector: Bits [8*BITWIDTH-1:7*BITWIDTH] = smallest element … Bits [BITWIDTH-1:0] = largest element Optionally include a valid flag as the MSB of dout (making the total width 8*BITWIDTH+1). Reset: On resetn == 0, clear memory and drive dout = 0. Implementation notes The sort must complete in one clock cycle when sortit is asserted (i.e., fully unrolled compare‐and‐swap network). Use generate‐for loops or explicit wiring to build the bubble‐sort network. Please produce clean, commented, synthesizable SystemVerilog that meets this specification.```
Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal
ZH_04
485
Mechanical Systems
## Task Description You are tasked with designing a buoyancy-driven underwater glider that travels a horizontal distance using only changes in buoyancy and pitch angle. The glider follows a shallow glide path under water with a constant average glide speed of **1 m/s** and must meet all mission goals using a feasible design. Your goal is to determine a feasible set of design parameters for: - Glide angle **θ** (in degrees) - Vehicle volume **V** (in cubic meters) - Ballast mass **m_b** (in kg) These four parameters must satisfy all of the following performance constraints: - The glider must follow a V-shape path and reach a horizontal distance of at least **4000 meters** in total with diving to 400 meters depth in the middle in no more than 2 hours - The total energy consumed (by buoyancy and control systems) must not exceed the **battery capacity** - The battery capacity must not exceed **200 Wh** - The density of the vehicle must not exceeds water density to that the vehicle can float without water inside it - The vehicle volume must be in the range of **0.2-1 m³** - The vehicle mass should be at least **200 kg** The glider is assumed to follow a constant glide angle and experience power consumption that increases linearly with depth: - Power consumption: **P(z) = P₀ + l·m + k·z**, where **P₀ = 100 W** (base system power), **l = 0.05 W/kg** (mass-related power coefficient), **k = 0.2 W/m** (depth-related power coefficient), with z in meters and m in kg Constants: - Water density: **ρ = 1025 kg/m³** - Gravitational acceleration: **g = 9.81 m/s²** - Total gliding depth: **400 meters** Your output should propose values for the four design parameters that satisfy all three constraints. ### Response Format Please provide your response in the following format: { "reasoning": "<Your reasoning and design process>", "angle": <proposed glide angle in degrees>, "volume": <proposed vehicle volume in m³>, "mass": <proposed ballast mass in kg> }
Zhihua Gong
XG_10
547
Control Design
## Task Description Consider the following first-order plant: \[ G(s) = \frac{3}{s + 2} \] ### Task 1 Your first task is to design a controller using the **loop-shaping method**. The desired loop bandwidth is \( \omega_L = 5 \, \text{rad/s} \). To shape the loop around the desired bandwidth: 1. First, compute the gain \[ K_g = \frac{1}{|G(j\omega_L)|} \] so that the open-loop gain at \( \omega_L \) equals 1. 2. Next, design an integral-boost element of the form: \[ K_b(s) = \frac{\beta_b s + \omega_b}{s \sqrt{\beta_b^2 + 1}} \] with \( \omega_b = \omega_L \) and \( \beta_b = \sqrt{10} \). This yields a **PI controller**: \[ K_1(s) = K_g \cdot K_b(s) \] Please provide the complete transfer function of \( K_1(s) \) as part of your response in the form of numerator and denominator coefficients. ### Task 2 To enhance noise rejection, your second task is to augment the controller with a **first-order roll-off filter** of the form: \[ K_r(s) = \frac{\omega_r \sqrt{\beta_r^2 + 1}}{s + \beta_r \omega_r} \] The final controller becomes: \[ K_2(s) = K_1(s) \cdot K_r(s) \] You are to determine appropriate values for \( \omega_r \) and \( \beta_r \) such that the **controller’s sensitivity to measurement noise** is sufficiently reduced. \( K_2(s) \) will be evaluated in a closed-loop simulation with: - A step reference signal: \( r(t) = 3 \) for \( t \ge 0 \) - Additive measurement noise: \( n(t) = 0.05 \cdot \texttt{randn}(...) \) for \( t \ge 1 \) To evaluate the controller’s robustness to noise, we compute the **standard deviation of the control signal** during the noisy interval: \[ \sigma_u = \mathrm{std}(u(t \ge 1)) \] Please choose \( \omega_r \) and \( \beta_r \) such that: \[ \sigma_u \le 0.02 \]
Xingang Guo
ZH_03
332
Robotics
## Task Description You are tasked with designing a wheel-motor-gear system for a lightweight electric ground robot. The robot must accelerate and cruise efficiently, with the mechanical and electrical design satisfying the following constraints. Your goal is to determine a feasible set of design parameters for: - Gear ratio **r** - Wheel diameter **d** (in meters) - Battery capacity **C** (in Wh) - Robot mass **m** (in kg) These four parameters must satisfy all of the following performance constraints: - The robot must reach a top linear velocity of at least **3.0 m/s** - The robot must be able to climb a **10-degree incline** - The battery must support at least **30 minutes** of level cruising at **2.5 m/s** average speed without recharging The robot has the following physical characteristics: - Motor voltage: 24 V - Max torque: 0.3 Nm at 4000 rpm - Motor efficiency: 80% - Rolling resistance coefficient: 0.015 - Robot cross-sectional area: 0.05 m² - Gravitational acceleration: g = 9.81 m/s² Your output should propose values for the four design parameters that satisfy all three constraints. ### Response Format Please provide your response in the following format: { "reasoning": "<Your reasoning and design process>", "r": <proposed gear ratio>, "d": <proposed wheel diameter in meters>, "C": <proposed battery capacity in Wh>, "m": <proposed robot mass in kg> }
Zhihua Gong
NS_PA_SS_04
330
Digital Hardware Design
You are a hardware‐design expert. Write synthesizable SystemVerilog for an 8-bit Fibonacci Linear-Feedback Shift Register (LFSR) with a parameterizable DATA_WIDTH, according to the following spec: 1. Parameterization - DATA_WIDTH (default 8) 2. Ports ```verilog module model #( parameter DATA_WIDTH = 8 ) ( input logic clk, // clock signal input logic resetn, // synchronous, active-low reset input logic [DATA_WIDTH-1:0] din, // initial seed value written on reset input logic [DATA_WIDTH-1:0] tap, // feedback polynomial (tap positions) output logic [DATA_WIDTH-1:0] dout // current LFSR output ); 3. Behavior Reset: On the rising edge of clk when resetn = 0, load the shift register with din, and set dout = 1. Shift: On each rising edge of clk when resetn = 1: Compute the feedback bit as the XOR of all register bits whose positions correspond to a ‘1’ in the registered/latched tap value. Shift the register right by one bit. Insert the feedback bit into the MSB position. Update dout with the new register value. Tap buffering: Internally register the tap input on reset so that the feedback polynomial remains constant until the next reset. 4. Output dout presents the current DATA_WIDTH-bit state of the shift register. Please produce clean, synthesizable SystemVerilog that meets this specification.
Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal
NS_PA_SS_03
485
Digital Hardware Design
You are a hardware‐design expert. Write synthesizable SystemVerilog for a parameterized, 32-entry, 2-read/1-write register file with collision detection, according to the following spec: 1. Parameterization parameter DATA_WIDTH = 16; 2. Ports module model #( parameter DATA_WIDTH = 16 ) ( input logic [DATA_WIDTH-1:0] din, // write data input logic [4:0] wad1, // write address input logic [4:0] rad1, // read address 1 input logic [4:0] rad2, // read address 2 input logic wen1, // write-enable input logic ren1, // read-enable 1 input logic ren2, // read-enable 2 input logic clk, // clock input logic resetn,// sync active-low reset output logic [DATA_WIDTH-1:0] dout1, // read data 1 output logic [DATA_WIDTH-1:0] dout2, // read data 2 output logic collision // collision flag ); 3. Behavior Register bank: 32 words, each DATA_WIDTH bits. Write on rising edge of clk when wen1 is high: – If wen1 && resetn, write din into entry wad1. Read on rising edge of clk when renN is high: – If renN is deasserted, output zero on that port. – If reading an unwritten address, output zero. Default outputs: dout1 and dout2 reset to zero. Reset: synchronous, active-low; on reset, clear all 32 entries (or implicitly treat as unwritten) and drive dout1, dout2, and collision to zero. Collision detection: – At each clock edge, set collision = 1 if any two of (wad1, rad1, rad2) are equal and their enables are asserted (i.e. write/read or read/read to same address); otherwise collision = 0. 4. Support Up to three operations per cycle: two reads + one write, or fewer when enables are low. Please produce clean synthesizable SystemVerilog that meets this specification.
Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal
NS_PA_SS_10
359
Digital Hardware Design
You are a hardware‐design expert. Write synthesizable SystemVerilog for a serial‐input “divisible‐by‐5” detector that outputs a 1 whenever the cumulative binary value shifted in is evenly divisible by five, according to the following spec: 1. Parameterization - No fixed width: the module processes an arbitrarily long bitstream, one bit per clock. 2. Ports ```verilog module model ( input logic clk, // clock signal input logic resetn, // synchronous, active‐low reset: clears history input logic din, // serial input bit, MSB first output logic dout // high if the current value mod 5 == 0 ); Behavior State machine on remainder: Internally maintain a 3‐bit or larger state register holding the current remainder modulo 5. Shift in bit: On each rising edge when resetn == 1: Compute new_value = (old_value << 1) + din new_remainder = new_value mod 5, computed from the previous remainder and incoming bit. Store new_remainder in the state register. Set dout = 1 if new_remainder == 0, else dout = 0. Reset: When resetn == 0 on the rising edge, clear the remainder state to 0 and drive dout = 0. Implementation notes Use a small finite‐state machine with five states (remainders 0–4). The update logic can be built combinationally from the previous remainder and din. Keep all logic synchronous to clk. dout must be valid the same cycle the new bit is processed. Please produce clean, commented, synthesizable SystemVerilog that meets this specification.
Nippun Sabharwal, Shreyanka Sinha, Prakhar Agarwal
ZC_03
1,218
Control Design
## Task Description We consider a multi-armed bandit (MAB) problem, where each arm corresponds to a different treatment option (e.g., a specific drug administered to a patient). Pulling an arm represents prescribing and administering that particular drug. The reward received after pulling an arm reflects the patient's observed health outcome following the treatment, such as improvement in symptoms or biomarker changes. \subsection*{1. Environment} \begin{itemize} \item $K \in \mathbb{N}$ denotes the number of arms. \item Let $L \in \mathbb{N}$, and $T = L*K$ is the fixed time horizon. \item Each arm $i \in \{1,\dots,K\}$ produces i.i.d.\ rewards drawn from an unknown, $1$-sub-Gaussian distribution $P_i$ with mean $\mu_i \in [0,1]$. \end{itemize} \subsection*{2. Interaction processes} At each round $t \in \{1, \dots, T\}$, the learner selects an arm $a_t \in \{1,\dots,K\}$, which corresponds to administering a particular treatment (e.g., giving a specific drug to a patient). After pulling arm $a_t$, the learner observes a reward $r_t \sim P_{a_t}$. \subsection*{3. Cumulative regret} One important performance metric in MAB problems is the cumulative regret, which ideally should be as small as possible; a lower cumulative regret often indicates better overall welfare of the patients. The definition of the regret is: \[ R_T \;:=\; \mathbb{E}\!\Bigl[\, \sum_{t=1}^{T} \bigl(\mu^\ast - \mu_{a_t}\bigr) \Bigr], \quad \mu^\ast \;:=\; \max_{1\le i \le K} \mu_i . \] \subsection*{4. Average treatment effect (ATE)} The ATE quantifies the difference in expected outcomes between two treatments (or arms). Estimating the ATE allows us to identify the performance of each treatment. For any two arms $i,j$ define the true ATE \[ \Delta_{ij} \;:=\; \mu_i \;-\; \mu_j . \] For arm $i$ the reward estimator after $T$ iterations is $\hat{\mu}_i$. The empirical ATE between arms $i$ and $j$ is \[ \hat{\Delta}_{ij} \;:=\; \hat{\mu}_i \;-\; \hat{\mu}_j. \] Besides, we define the maximum ATE estimation error after $T$ rounds as \[ \hat{\Delta}_T \;:=\; \max_{1 \le i < j \le K} \bigl| \, \hat{\Delta}_{ij} - \Delta_{ij} \, \bigr|. \] We aim for the $\hat{\Delta}_T$ to be as small as possible. \subsection*{5. Performance Quantities} Minimizing both cumulative regret and ATE estimation error is essential to balance effective decision-making with accurate statistical inference. Low regret indicates that the learner is consistently selecting high-performing arms and thus achieving strong reward performance. At the same time, a small ATE estimation error enables reliable comparisons between treatments, which is critical for understanding their relative effectiveness. To capture this trade-off, we aim to minimize the following objective: \[ J \;:=\; \mathbb{E}\!\bigl[ \sqrt{R_T} \bigr] \;\cdot\; \mathbb{E}\!\bigl[ \hat{\Delta}_T \bigr]. \] We want to design a learning algorithm that can minimize $J$. \subsection*{6. Two--phase algorithm design} We aim to design a two-phase algorithm to minimize \(J\). Let \(\alpha\) and \(\beta\) satisfy \(1 \le K^{\alpha}L^{\beta} \le T\). The algorithm proceeds in two stages: an initial uniform exploration phase, followed by an adaptive exploitation phase based on the collected data. \paragraph{Exploration phase (rounds $1,\dots,K^{\alpha}L^{\beta}$).} Pull every arm in a round--robin fashion. \paragraph{Exploitation phase (rounds $K^{\alpha}L^{\beta}+1,\dots,T$).} Run the standard UCB rule. The resulting $J$ is denoted $J(\alpha,\beta)$. ### Task  Here we analyze the asymptotic behavior of $J$, focusing only on the constants $K$ and $L$, ignoring the logarithmic term and other constant factors. \textbf{Goal:} Find the upper bounds and lower bounds of $\alpha$ and $\beta$ $\{\bar\alpha,\bar\beta,\underline{\alpha},\underline{\beta}\}$ such that \[ \forall \alpha \in [\bar\alpha,\underline{\alpha}],\ \forall \beta\in[\bar\beta,\underline{\beta}];\quad J(\alpha,\beta) = \min_{x,y \in S} J(x,y) \] where \[ S \;:=\; \bigl\{\, \alpha,\beta \in \mathbb{R} \,:\; 1 \le K^{\alpha}L^\beta \le T \bigr\}. \]
Zichen Wang
Yiqi_02
4,385
Computer Architecture Design
# Problem Definition of T10 Benchmark To compute a matrix multiplication operator on an inter-core connected AI chip, we need you to derive an execution plan using T10's abstraction. For more information about this problem, please refer to "Background Information of T10 Benchmark". **The Computation Task:** The matrix multiplication (MatMul) to be computed is defined as $C[m,n] += A[m,k]*B[k,n]$, where $m = 32$, $k = 5120$, and $n = 15360$. The input and output tensors are all in FP16 format (2 bytes per data element). To find better partitioning plans, you may consider padding this operator along any of its dimensions. However, there will be performance overhead if you pad too much. **The Hardware:** We use an inter-core connected AI chip called IPU Mk2. An IPU chip has 1,472 cores, and each core executes independent threads in parallel with a private 624KB scratchpad memory, which adds up to a total of 896MB on-chip memory. The IPU cores are interconnected by high-bandwidth low-latency links. Each core can access the scratchpad memory of another core at 5.5GB/s, offering an aggregated inter-core all-to-all bandwidth of $1472 \times 5.5$ GB/s $\approx 8$ TB/s [1]. Inside each core, there is a systolic array of shape $16 \times 16$, which can compute a partition of the MatMul operator at high throughput. If the sub-MatMul to be computed on each core does not have a shape that is a multiple of the systolic array shape, this sub-MatMul must be padded to align with the systolic array shape. **The Execution Plan:** In this problem, we need you to derive a fast execution plan that computes the above MatMul on an IPU chip. First, this plan should ensure that at any time during execution, the sub-tensor partitions on each core do not overflow the per-core SRAM size. Second, the partition factors in this plan should comply with all constraints defined in T10's background information. Third, this plan should use no more than 1,472 cores. Finally, this plan should try to minimize the total execution time, which is the sum of per-core computation time and inter-core communication time. **The Output Format:** You should output the execution plan in the following format: - `F_op`: a list of integers with length 3, which are the operator partition factors on dimensions $m$, $k$, and $n$, respectively. - `f_t_A_m`: integer, which is the temporal partition factor of tensor A on dimension $m$. - `f_t_A_k`: integer, which is the temporal partition factor of tensor A on dimension $k$. - `f_t_B_k`: integer, which is the temporal partition factor of tensor B on dimension $k$. - `f_t_B_n`: integer, which is the temporal partition factor of tensor B on dimension $n$. - `f_t_C_m`: integer, which is the temporal partition factor of tensor C on dimension $m$. - `f_t_C_n`: integer, which is the temporal partition factor of tensor C on dimension $n$. --- # Background Information of T10 Benchmark ## 1. Inter-core Connected AI Chip Deep learning accelerators, such as GPUs and TPUs, are widely recognized for their exceptional computing throughput (e.g., hundreds of Tera-FLOPS), making them ideal for handling large-scale models and high-dimensional data in deep learning. Such effectiveness is largely attributed to their massive parallel cores and specialized accelerator units, e.g., TensorCore. However, to saturate the high computing throughput, they usually require a high-throughput memory system, e.g., a large shared memory with multi-hierarchical cache layers in accelerators. Also, an efficient deep learning compiler [7, 8] is necessary to optimize data reuse across the memory hierarchy, ensuring the computing units are fully utilized. This combination of hardware and software design often yields orders of magnitude higher performance than traditional CPUs for critical deep learning operations, including matrix multiplication and convolution. Despite the success of existing accelerators, the constantly increasing demand for processing large deep-learning models with higher computation throughput presents a significant challenge to the underlying memory system. To address this challenge, the community is exploring a more scalable architecture with fully distributed memory, such as the Graphcore IPU [2], SambaNova SN10 [5], and Cerebras WSE [3]. Rather than relying on shared-memory architecture, they typically associate each computation core with local memory, and connect the cores via a high-bandwidth on-chip network, creating a large aggregated on-chip memory. However, this unique architecture renders previous deep learning compilers designed for shared-memory architectures, which cannot fully leverage the new architecture's scalability, resulting in significant memory waste. Designing a deep learning compiler for distributed memory-based accelerators presents several unique challenges: 1. Due to the absence of global shared memory, it is necessary to partition the operators, along with their input and output tensors, into sub-operators that can operate independently on each core with only local memory access. 2. As the local memory on each core is mostly on-chip memory, the aggregated memory capacity is considerably smaller than the off-chip memory. Thus, the compiler must effectively utilize the limited memory capacity to support a model size as large as possible, without compromising on computing performance. 3. Given that there is usually a trade-off between memory consumption and computation performance for each operator, an end-to-end model compilation must consider the trade-offs among all operators, generating a combinatorial optimization space that is infeasible to solve using existing compilers. In this problem, we focus on a representative example of the inter-core connected AI chip: the Graphcore Intelligence Processing Unit (IPU) MK2 [2]. An IPU chip has 1,472 cores, and each core executes independent threads in parallel with a private 624KB scratchpad memory, which adds up to a total of 896MB on-chip memory. Compared to the global shared memory architecture, a key distinction is that IPU cores are interconnected by high-bandwidth low-latency links. Each core can access the scratchpad memory of another core at 5.5GB/s, offering an aggregated inter-core all-to-all bandwidth of $1472 \times 5.5$GB/s $\approx 8$TB/s [1]. --- ## 2. Background of T10 To eliminate the excessive memory footprint and redundant inter-core communications of VGM, we map the DNN computation to a _compute-shift_ pattern. In each step, each core independently computes a sub-task with data received from its upstream neighbors and shifts the data to its downstream. The feasibility of this approach for general DNNs comes from this observation: most DNN operators can be divided into regular computation tasks, which load and produce consecutive data tiles of the input and output tensors, respectively. ![Figure 1](./images/figure1.png) Figure 1 shows an example that maps a MatMul operator to two cores with the compute-shift style execution. Both (b) and (c) are valid compute-shift execution plans, but with different tradeoffs between memory footprint and communication overhead. **Example: Mapping MatMul to Two Cores** We show an example that maps a matrix multiplication (MatMul) operator to two cores in Figure 1 (a). 1. **Partitioning:** We first partition the operator along dimension $m$ onto two cores in Figure 1 (b). By default, both cores hold a copy of the weight tensor, which incurs memory capacity overhead. 2. **Memory Optimization:** To reduce memory footprint, in Figure 1 (c), we further split the weight tensor along dimension $n$ into two parts and place each part on one of the cores. Then, the computation must be conducted in two steps, as each core holds half of the weight tensor and performs half of its computation per step. Between the computation steps, each core circularly shifts its partition to the next core, forming a shift ring of two cores. **Advantages of Compute-Shift Pattern** 1. Eliminates the need for a global memory to store shared data, improving memory capacity utilization. 2. Evenly distributes communication volume across inter-core connections. 3. Aligns computation with data tile, avoiding redundant communications to many cores. **Tradeoff Between Memory Footprint and Communication Overhead** For example, both Figure 1 (b) and (c) show valid execution plans: - **Plan (b):** Finishes the entire computation in one step without inter-core communication, but has a higher memory footprint. - **Plan (c):** Has less memory footprint but incurs more communication overhead. In reality, deriving the best tradeoff is challenging due to multi-dimensional DNN operators and thousands of cores on an IPU chip. An efficient compute-shift execution plan may contain numerous nested shift rings along multiple tensor dimensions, composing a massive tradeoff space to search through. --- ## 3. T10's Execution Plan Format ### $r$Tensor: A New Tensor Abstraction T10 introduces a distributed tensor abstraction called RotatingTensor ($r$Tensor). $r$Tensor describes how each tensor is partitioned, mapped, and shifted on the interconnected cores (summarized in Table 1). **Table 1. Terminologies used in T10** | **Symbol** | **Name** | **Description** | |------------|------------------------------|-------------------------------------------------------------------------------| | `f_s^X` | Spatial Partition Factor | Spatially partitions a tensor X into sub-tensors. | | `f_t^X` | Temporal Partition Factor | Temporally partitions a sub-tensor of X into sub-tensor partitions. | | `F_op` | Operator Partition Factor | Spatially partitions an entire operator into sub-operators. | First, T10 partitions the computation of an operator onto multiple cores. Based on the data dependency, the computation partitioning will imply how each of its input/output tensor is partitioned. This gives a spatial partition factor ($f_s$), which splits a tensor into sub-tensors. Second, each sub-tensor may be required by multiple cores. To share a sub-tensor among them, we specify how the sub-tensor is further partitioned among the cores using a temporal partition factor ($f_t$). $f_t$ also specifies how the partitions of a sub-tensor are circularly shifted among the cores. Altogether, a set of $r$Tensors of an operator defines a compute-shift execution plan. The numerous possible $r$Tensor configurations of an operator generate a huge search space of execution plans. ![Figure 2](./images/figure2.png) Figure 2 shows the llustration of rTensor partitioning and rotating. Specifically, $f_s$ and $f_t$ are vectors with a length equal to the number of dimensions of a tensor, indicating how the tensor is partitioned along each dimension. For example, in Figure 2 (a), a tensor $T$ of shape $[6,8]$ is partitioned onto 8 cores by a spatial factor $f_s=[2,1]$, forming 2 sub-tensors of shape $[3,8]$. Thus, to share each sub-tensor among 4 cores without incurring high memory footprint, a temporal factor $f_t=[1,2]$ further partitions each sub-tensor into 2 partitions with shape $[3,4]$, as shown in Figure 2 (b). It forms $\frac{4}{2} = 2$ rotation rings with 2 cores in each, where cores share the sub-tensor by circularly shifting its partitions. In comparison, Figure 2 (c) shows how another $f_t=[1,4]$ splits the same sub-tensor to 4 partitions, on $\frac{4}{4}=1$ rotation ring with 4 cores. --- ## 3.2. Compute-Shift Execution Plan Using the $r$Tensor abstraction, T10 organizes the computation of a general DNN operator into a compute-shift pattern, where the operator's computation and tensors are partitioned to individual cores and their local memories. The entire computation involves multiple compute-shift steps until each tensor has been shifted across all cores. Each compute step is defined as a *sub-task*. In each compute-shift step, each core computes a sub-task and shifts local tensors to its neighbors. We now discuss how T10 partitions DNN operators into compute-shift-based execution plans. ### Operator representation. To represent an operator's computation, T10 uses tensor expression [6], which defines how each output tensor value is computed from the input values. For example, a matrix multiplication of tensors $A$ in shape $[M,K]$ and $B$ in $[K,N]$ into $C$ is defined as $C[m,n] \longleftarrow A[m,k]*B[k,n]$, where $m$, $k$, and $n$ are axes to index the elements in each tensor. Equation (1) indicates that any value in $C$ indexed by $m$ and $n$ (i.e., $C[m,n]$) is computed by summing $A[m,k]*B[k,n]$ over all possible indices $k$. T10 supports all common operators, like MatMult and Convolution, from DNN workloads in both inference and training. For a few special cases like Sort, which cannot be represented in tensor expression, T10 uses the implementations from the vendor library. ### Partitioning an operator. To map an operator to interconnected cores, T10 first partitions it into parallel *sub-operators* along all unique axes in its tensor expression, using an *operator partition factor* ($F_{op}$). For example, Equation (1) contains axes $m$, $k$, and $n$, then $F_{op}$ is a vector of three integer factors specifying how the three axes are spatially partitioned. The total number of sub-operators is the product of all elements in $F_{op}$. For example, $F_{op}=[2,1,4]$ for $[m,k,n]$ slices the operator into 8 sub-operators on 8 cores, each computing a $\lceil\frac{M}{2},\frac{K}{1}\rceil \times \lceil\frac{K}{1},\frac{N}{4}\rceil$ sub-matrix multiplication. ### Partitioning $r$Tensors. T10 then uses $F_{op}$ to derive the spatial partition factor $f_s$ for each tensor, following the data dependencies in tensor expression. With the same example, for $F_{op}=[2,1,4]$ on $[m,k,n]$, the spatial partition factor for the tensor A is $f_s^A=[2,1]$ for axes $m$ and $k$. Similarly, for tensors B and C, we have $f_s^B=[1,4]$ and $f_s^C=[2,4]$. If a tensor's dimensions do not include some axis in $F_{op}$, each of the sliced sub-tensors is required by multiple sub-operators along the missing axis. Thus, once the spatial factor determines the number of cores that will share a sub-tensor, the temporal factor determines how we split the sub-tensor across these cores into rotation ring(s). In the above example, $F_{op}$ partitions the entire operator onto $2 \times 1 \times 4 = 8$ cores, and $f_s^B$ spatially partitions tensor B into $1 \times 4 = 4$ sub-tensors. Thus, each sub-tensor is shared by $P=\frac{8}{4}=2$ cores. Then, a temporal factor $f_t^B=[2,1]$ further splits each sub-tensor into $2 \times 1 = 2$ partitions, forming $\frac{P}{2}=1$ rotation ring. T10 enforces that the product of elements in $f_t$, or $\prod f_t$, is a divisor of the number of cores that shares the sub-tensor ($P$), so that the number of rotation rings (i.e., $\frac{P}{\prod f_t}$) is an integer. If there is more than one rotation ring, we replicate each sub-tensor $\frac{P}{\prod f_t}$ times to ensure that each ring shares one copy of the sub-tensor. While the duplication consumes memory space, it may reduce the number of rotation steps by allowing a larger sub-task on each core at each step, which enables a trade-off between memory usage and communication cost. ![Figure 3](./images/figure3.png) Figure 3 shows an example of the rotation of rTensor. The compute-shift executions of the sub-operators need to be aligned. ### Aligning the rotations of $r$Tensors. Since a general DNN operator can have various tensor shapes, a naive partitioning plan can easily cause the tensor shifting and the sub-task computing at an unaligned pace. In Figure 3 (a), we still use the MatMult operator in Equation (1) as an example. We partition it into a $2 \times 4$ grid in Figure 3 (b), with the specified partition factors. Note that both A and B are temporally partitioned along axis $k$, but with different $f_t$ factors. The rotating paces of tensors in one operator must be aligned to ensure correct data dependency. In Figure 3 (b), tensors A and B are shifted with different paces along axis $k$. To synchronizes the paces, each core shifts A for 2 times along $k$ for each time B is shifted, and computes a sub-task (i.e., a sub-MatMult) of shape $[\text{m=1, k=1, n=1}]$ for each time A is shifted. This requires 4 compute steps to finish the sub-operator on this core, where A is shifted after each step and B is shifted every 2 steps. ### Alignment constraints. To ensure that a $r$Tensor configuration can be translated into a valid execution plan, the product of the temporal partition factors of a tensor (i.e., the total number of temporal partitions) should be a factor of the replication number caused by spatial partitioning. Additionally, for different tensors of an operator that share a common dimension, all their temporal factors on this dimension should be aligned. Thus, any pair of temporal factors should be a factor or multiple of each other. This approach allows each tensor or sub-tensor to flow across cores at a different pace, while ensuring that corresponding tensor partitions can meet at the same time step on a specific core. For instance, in the matrix multiplication illustrated in Figure 3(b), tensor A has a temporal factor of 4 along dimension $k$, tensor B has a factor of 2, and these factors along $k$ (i.e., 4 and 2) must be a factor or multiple of each other. ### Sub-operator scheduling. With the above constraints, we can organize an operator's computation into a valid compute-shift execution plan. At each step, each sub-operator computes a sub-task partitioned by $F_{op}$ and the $f_t$ along each axis. Each sub-operator iterates over all its sub-tasks by nested-looping through the axes of this operator. Between sub-tasks, an $r$Tensor is rotated along the currently iterating axis for all its sub-tensors, until all sub-tasks are enumerated. Specifically, T10 organizes the computation on each core as nested loops of interleaved compute and shift stages. Each loop corresponds to a temporally partitioned dimension. For example, if there are two dimensions to shift, $m$ and $n$, with $m$ shifting twice and $n$ shifting once, then there are two valid 5-time shift schedules: (1) $m$ is the inner loop, i.e., $\text{shift}(m) \times 2 \rightarrow \text{shift}(n) \rightarrow \text{shift}(m) \times 2$; and (2) $n$ is the inner loop, i.e., $\text{shift}(n) \rightarrow \text{shift}(m) \rightarrow \text{shift}(n) \rightarrow \text{shift}(m) \rightarrow \text{shift}(n)$. To determine the optimal loop order, T10 designates the dimension belonging to the tensor with the smaller size as the inner loop to reduce the total communication volume, as the inner loop is executed more times. To generate local computations for each core, T10 invokes the corresponding compute function with the partition configuration and the tensor expression. --- # References [1] Zhe Jia, Blake Tillman, Marco Maggioni, and Daniele Paolo Scarpazza. 2019. Dissecting the Graphcore IPU Architecture via Microbenchmarking. [2] Simon Knowles. 2021. Graphcore Colossus Mk2 IPU. [3] Sean Lie. 2021. Multi-Million Core, Multi-Wafer AI Cluster. [4] Yiqi Liu et al. 2024. Scaling Deep Learning Computation over the Inter-Core Connected Intelligence Processor with T10. [5] Raghu Prabhakar and Sumti Jairath. 2021. SambaNova SN10 RDU. [6] Nicolas Vasilache et al. 2018. Tensor Comprehensions. [7] Lianmin Zheng et al. 2020. Ansor. [8] Hongyu Zhu et al. 2022. ROLLER.
Yiqi Liu
XW_01
1,116
Operating System Design
## Task Description In this task, you will be provided with a filesystem image. You are required to develop separate programs—each implementing a different filesystem operation (e.g., create, read, update, delete)—to modify the corresponding sections of that image. Your objective is to ensure that each program correctly performs its assigned operation on the intended part of the filesystem image while preserving its overall integrity. Here is the class definition for your reference: from dataclasses import dataclass, field from typing import List, Dict, Optional @dataclass class SuperBlock: """File system superblock, stores metadata.""" block_size: int # Size of each block (bytes) total_blocks: int # Total number of blocks inode_count: int # Total number of inodes free_block_bitmap: List[bool] # Free block bitmap, True = free @dataclass class Inode: """Inode structure, stores file/directory metadata.""" ino: int # Inode number is_dir: bool # Whether this inode is a directory size: int # File size (bytes) direct_blocks: List[int] # List of direct block indices # Optional: add indirect block pointers, multi-level indexing, permissions, timestamps, etc. @dataclass class DirEntry: """Directory entry: maps a filename to an inode.""" name: str inode: int @dataclass class FileSystemImage: """Complete file system image structure.""" superblock: SuperBlock inodes: Dict[int, Inode] = field(default_factory=dict) # Directory tree: inode -> list of entries in that directory; valid only for is_dir=True inodes directories: Dict[int, List[DirEntry]] = field(default_factory=dict) # Data block storage area: index corresponds to block number, content is bytes data_blocks: List[Optional[bytes]] = field(default_factory=list) def __post_init__(self): # Initialize data block storage area if not self.data_blocks: self.data_blocks = [None] * self.superblock.total_blocks def allocate_block(self) -> int: """Allocate a block from the free bitmap and return its index; raise if none available.""" for idx, free in enumerate(self.superblock.free_block_bitmap): if free: self.superblock.free_block_bitmap[idx] = False self.data_blocks[idx] = b'' # Initialize with empty content return idx raise RuntimeError("No free blocks available") def free_block(self, block_idx: int): """Free the specified block index.""" if 0 <= block_idx < self.superblock.total_blocks: self.superblock.free_block_bitmap[block_idx] = True self.data_blocks[block_idx] = None else: raise IndexError("Block index out of range") def create_inode(self, is_dir: bool) -> Inode: """Create a new inode and return it.""" new_ino = len(self.inodes) + 1 if new_ino > self.superblock.inode_count: raise RuntimeError("No free inodes") inode = Inode( ino=new_ino, is_dir=is_dir, size=0, direct_blocks=[] ) self.inodes[new_ino] = inode if is_dir: self.directories[new_ino] = [] return inode def add_dir_entry(self, dir_ino: int, name: str, inode: int): """Add a directory entry to the directory with inode dir_ino.""" if dir_ino not in self.directories: raise RuntimeError("Not a directory inode") self.directories[dir_ino].append(DirEntry(name=name, inode=inode)) ### Task 1 def read(fs_img: FileSystemImage, name: str, pos: int, length: int) -> str: """ Read up to `length` bytes from the file called `name` in the given FileSystemImage, starting at byte offset `pos`, and return the data as a Python string (decoded as UTF‑8). Parameters: - fs_img: the FileSystemImage instance containing inodes, directories, and data blocks. - name: an absolute or relative path to the target file within `fs_img`. - pos: the byte offset within the file at which to begin reading. - length: the maximum number of bytes to read. Returns: - A Python `str` containing the bytes read, decoded from UTF‑8. Behavior: 1. If the file `name` does not exist or refers to a directory, raise a `FileNotFoundError` or `IsADirectoryError` respectively. 2. If `pos` is negative or exceeds the file’s size, raise an `ValueError`. 3. If `pos + length` extends beyond the end of file, read only up to EOF. 4. Read the appropriate data blocks from `fs_img.data_blocks` according to the file’s inode `direct_blocks`, concatenating and slicing as needed. 5. Decode the resulting bytes to a UTF‑8 string and return it. """ # Your implementation here…
Licheng Xu,Jinwen Wang
HJ_01
192
Robotics
## Task Description The company let you assembly a car for track following design competition. The car control is simply a look ahead point tracking model. A path with length of 56 meters is given, and your car should finish test in 10 sec with max tolerance 1 meters of track-off distance. You are given 300 dolors budget. You can control four different value: refresh rate, acceleration, max velocity and lookahead distance. The refreshing cost is 5 dollar/hz; the acceleration cost is 10 dolors/ m/s^2; the max motor velocity is 8 dolors/ m/s, and the look ahead camera distance cost 1 dolor/ meter. ### Task Your task is to design the car setup with: refresh rate, acceleration, max velocity and lookahead distance so that: Total runtime < 10 sec Max off-track error < 1 meter Budget cost < 300 dollars
Jingjie He
ZC_02
420
Control Design
## Task Description Consider the discrete‐time Lurye feedback interconnection composed of the following blocks: Nonlinear block: \[ w_k = \phi(v_k), \quad \phi:\mathbb R\to\mathbb R\text{ satisfies } 0 \;\le\; \frac{\phi(v_1)-\phi(v_2)}{v_1-v_2}\;\le\;1, \;\forall v_1\neq v_2. \] Linear time‐invariant block: \[ \begin{cases} x_{k+1} = A\,x_k + \alpha\,B\,w_k,\\ y_k = C\,x_k + D\,w_k, \end{cases} \quad \alpha>0\text{ multiplies the input matrix }B, \] with numerical values \[ A = \begin{bmatrix}0.5000 & 0 \\1.0000 & 0\end{bmatrix}, B = \begin{bmatrix}1 \\0\end{bmatrix},\quad C = \begin{bmatrix}2.0000 & 0.9200\end{bmatrix}, D = [\,0\,]. \] Interconnection: \[ v_k = d_k - y_k,\qquad w_k = \phi(v_k),\qquad e_k = w_k. \] ### Task  Calculate the $\alpha$ where \[ \alpha_{\max} = \max\bigl\{\alpha>0 \mid \text{the closed‐loop is absolutely stable for all }\phi\text{ in the sector}\bigr\}. \] Please note the properties of the nonlinear operator $\phi$, you are encouraged to use semidefinite programming and bisection.
Zichen Wang
Ziheng_01
593
Control Design
## Task Description You are given an aircraft pitch-axis plant model and asked to design a Robust-Servo LQR (RS-LQR) controller. Consider the continuous‐time state–space model \[ \dot{\bm x}_p = A_p\,\bm x_p + B_p\,u,\quad y = C_p\,\bm x_p + D_p\,u, \] where \[ \bm x_p = [\alpha,\; q,\; \delta_e,\;\dot\delta_e]^T,\quad u = \delta_{\mathrm{cmd}},\quad y = [A_z,\;\alpha,\;q,\;\delta_e,\;\dot\delta_e]^T. \] The plant matrices are \[ A_p = \begin{bmatrix} -1.3046 & 1.0 & -0.21420 & 0 \\ 47.71 & 0 & -104.83 & 0 \\ 0 & 0 & 0 & 1.0 \\ 0 & 0 & -12769.0 & -135.6 \end{bmatrix},\quad B_p = [0,\;0,\;0,\;12769.0]^T, \] \[ C_p = \begin{bmatrix} -1156.9 & 0 & -189.95 & 0 \\ 1 & 0 & 0 & 0 \\ 0 & 1 & 0 & 0 \\ 0 & 0 & 1 & 0 \\ 0 & 0 & 0 & 1 \end{bmatrix},\quad D_p = \mathbf{0}_{5\times1}. \] Design a dynamic state–feedback controller \[ \dot{\bm x}_c = A_c\,\bm x_c + B_{c1}\,y + B_{c2}\,r,\quad u = C_c\,\bm x_c + D_{c1}\,y + D_{c2}\,r, \] such that: \begin{itemize} \item The closed‐loop rise time of \(A_z\) is \(<0.2\) s. \item The system is stable. \item The gain margin is \(>3\) dB. \item The phase margin is \(>30\) degrees. \end{itemize} Please output all six controller matrices Ac, Bc1, Bc2, Cc, Dc1, Dc2 that satisfies the above requirements.
Ziheng Guo
XG_02
681
Control Design
Consider a nanopositioning stage modeled by the following seventh-order linear state-space system. This model is fitted to frequency response data collected from the physical device: A = \begin{bmatrix} -3360.27884342382 & 24650.4407238876 & -24650.4407238876 & 24650.4407238876 & -24650.4407238876 & 24650.4407238876 & -6162.61018097190 \\ -3270.26403683917 & 10278.7248098086 & -7476.39347226054 & 7476.39347226054 & -7476.39347226054 & 7476.39347226054 & -1869.09836806513 \\ -2657.10970968037 & 10628.4388387215 & -13430.7701762696 & 16233.1015138177 & -16233.1015138177 & 16233.1015138177 & -4058.27537845441 \\ -3954.03177459846 & 15816.1270983939 & -15816.1270983939 & 13013.7957608458 & -10211.4644232977 & 10211.4644232977 & -2552.86610582443 \\ -2325.45756017668 & 9301.83024070671 & -9301.83024070671 & 9301.83024070671 & -12104.1615782548 & 14906.4929158029 & -3726.62322895072 \\ -1665.81075466004 & 6663.24301864017 & -6663.24301864017 & 6663.24301864017 & -6663.24301864017 & 3860.91168109210 & -264.645085886005 \\ -1596.51916299641 & 6386.07665198562 & -6386.07665198562 & 6386.07665198562 & -6386.07665198562 & 6386.07665198562 & -4398.85050054448 \\ \end{bmatrix}, B = \begin{bmatrix} 33.1501 \\ 29.2147 \\ 49.7430 \\ 55.4071 \\ 43.2351 \\ 22.8726 \\ 24.9153 \\ \end{bmatrix}, C = \begin{bmatrix} 41.1585 & -164.6342 & 164.6342 & -164.6342 & 164.6342 & -164.6342 & 41.1585 \end{bmatrix} D = \begin{bmatrix} 0 \end{bmatrix}. Your task is to design a robust feedback controller using the loop shaping method that satisfies the following frequency-domain performance requirements: -Closed-loop bandwidth: approximately 85 Hz (±10 Hz tolerance) -Gain margin: greater than 1.5 dB -Phase margin: greater than 60 degrees
Xingang Guo
XG_05
378
Control Design
## Task Description In this task, you are required to design a feedback controller to regulate the temperature of a chemical reactor using a heat exchanger system. The reactor, modeled as a stirred tank, is shown in the accompanying figure. A liquid stream enters the tank from the top inlet and is continuously mixed. To maintain the liquid at a desired constant temperature, the amount of steam supplied to the heat exchanger (located at the bottom of the tank) must be adjusted. This is achieved by modulating the control valve that governs steam flow. Your objective is to design a controller that ensures the reactor temperature remains stable and responds effectively to disturbances and setpoint changes. ### Task 1 Your first task is to derive a first-order with delay transfer function $G(s) = exp(-theta*s)/(1+tau*s)$ to model the dynamics of the stirred tank. The second figure shows a measured step response of the stirred tank, with $t_1 = 23$ s and $t_2 = 36$ s. The values of $t_1$ and $t_2$ are the times where the step response reaches 28.3% and 63.2% of its final value, respectively. Please determine the value of $\theta$ and $\tau$ from the step response figure using the given information. Then the transfer function will be $G(s) = exp(-theta*s)/(1+tau*s)$. ### Task 2 Your second task is to design a feedback controller to regulate the temperature of the stirred tank using the model you derived in Task 1 that satisfies the following requirements: - Gain margin: >= 7 dB - Phase margin: >= 60 degrees - Overshoot: <= 10% (for a step reference input) - Settling time: <= 150 s (for a step reference input)
Xingang Guo
YF_05
454
Structure Design
## Task Description In this task, you are required to determine a suitable cross-sectional area A for a specified member of a simple 2D truss, which will be evaluated using a static linear-elastic finite-element model in MATLAB. The truss is defined by three nodes and three pin-connected members forming a right triangle: You are given the following fixed parameters: - Nodes (coordinates in mm): Node1 - (0,0) (ux=uy=0) Node2 - (1000,0)(uy = 0) Node3 - (1000,1000) - A vertical downward force of 4000 N applied at Node 3 - Members (element connectivity): Node 1 → Node 2 (A = 100mm^2) Node 2 → Node 3 ← design this member’s area Node 1 → Node 3 (A = 100mm^2) - Material properties: - Young’s modulus: 210,000 MPa - Poisson’s ratio: 0.3 Performance criterion: The maximum nodal displacement must satisfy δ max < 0.5mm The score depends on the ratio of the maximum measured angle to the allowable threshold. If that ratio falls between seventy percent and ninety percent, the beam earns the full 100 points. If the ratio is below seventy percent, partial credit is awarded in direct proportion to how close it is to seventy-percent (with zero displacement scoring zero and seventy-percent scoring 100). If the ratio lies between ninety percent and one hundred percent, points are deducted in proportion to how far it exceeds ninety percent (dropping from 100 at ninety percent down to zero at the full threshold). Any ratio below zero or above one hundred percent results in a score of zero. --- ### Task Your task is to: - a structurally sound value for the area A (in mm²) of the member between Node 2 and Node 3. You must have a numerical computation and analysis. - Provide a brief justification for your choice of thickness, considering stiffness, loading, and geometric constraints You do **not** need to evaluate the angle yourself. The simulation and evaluation will be done separately.
Yufan Zhang
YF_02
450
Structure Design
## Task Description In this task, you are required to determine a suitable thickness (Th) of a rectangular steel beam subjected to a dual-point load, applied at the quarter-span locations, and evaluated using 3D structural simulation in MATLAB’s PDE Toolbox. The beam is modeled as a simply supported rectangular beam with a solid rectangular cross-section (width × thickness). It is loaded vertically downward by two equal forces applied symmetrically at 1/4 and 3/4 of the beam span. You are given the following fixed parameters: - 'L = 1000' mm (Total span length) - 'w = 40' mm (Beam width, constant) - 'Height = Th' mm (Beam thickness to be designed) - Two vertical downward forces: F = 1000 N each, applied at quarter-span locations - Material properties: - Young’s modulus: 210,000 MPa - Poisson’s ratio: 0.3 The beam will be evaluated using a static linear elasticity model in 3D (extruded along the beam width). The performance criterion is that the maximum vertical displacement (uy) must be less than 1 mm under the given load. The score depends on the ratio of the maximum measured displacement to the allowable threshold. If that ratio falls between seventy percent and ninety percent, the beam earns the full 100 points. If the ratio is below seventy percent, partial credit is awarded in direct proportion to how close it is to seventy-percent (with zero displacement scoring zero and seventy-percent scoring 100). If the ratio lies between ninety percent and one hundred percent, points are deducted in proportion to how far it exceeds ninety percent (dropping from 100 at ninety percent down to zero at the full threshold). Any ratio below zero or above one hundred percent results in a score of zero. --- ### Task Your task is to: - Propose a structurally sound value for `Th` (thickness of the beam, in mm) - Provide a brief justification for your choice of thickness, considering stiffness, loading, and geometric constraints You do **not** need to evaluate the displacement yourself. The simulation and evaluation will be done separately.
Yufan Zhang
qjlim2_01
356
Signal Processing
## Background Microstrip patch antennas are widely used in modern wireless communication due to their low profile, ease of fabrication, and compatibility with printed circuit board (PCB) technology. In this task, you are to design a rectangular microstrip patch antenna that satisfy the task objective and constraints stated below. The antenna is centered at the origin with the patch facing the +z direction. The feed point lies in the x-y plane. The dielectric substrate must be selected from commercially available Rogers low-loss laminates, and the chosen material's permittivity will influence the design. ## Task Objective and Constraints Your task is to design a rectangular microstrip patch antenna that meets the following specifications: - Resonant Frequency: 1.537 GHz (S11 <= –10 dB) - Bandwidth: >= 50 MHz - Gain: >= 3 dBi - Volume Constraint (Ground Plane Size) [ Length × Width × Height ]: <= 100 mm × 100 mm × 10 mm - Feed Method: 50-ohm coaxial pin feed (from below substrate) - Substrate Material: Rogers low-loss laminate (commercially available) ## Design Inputs Determine the optimal design parameters to satisfy the task objectives: - Length of Metallic Patch in mm: length_mm - Width of Metallic Patch in mm: width_mm - Substrate height in mm: height_mm - Relative permittivity of selected Rogers material: epsilon_r - Feed position in the x direction relative to patch center: feed_offset_x_mm - Confidence of your design: confidence ## Design Context - Ground Plane Size is 1.5 times max(Length, Width) - Patch size (Length and Width) have to be smaller than ground plane size
Qi Jian Lim
XZ_03
1,485
Robotics
## Task Description You are given a Webots world file named 'construction_site.wbt' with some walls (obstacles) and a controller file named "robot_controller.py", you should first read the world info as shown below, then choose a path planning algorithm to generate the shortest path from start point to goal point without hitting any obstacles or walls. The path should satisfy the following requirements: The small inspection robot's starting position is at the site entrance (0,0,0), and we set the goal position to the far corner inspection point (49,39,0). Suppose this inspection robot can only navigate on grid nodes (i.e., x and y values are integers). The construction_site.wbt contains the following world info: #VRML_SIM R2025a utf8 EXTERNPROTO "https://raw.githubusercontent.com/cyberbotics/webots/R2025a/projects/objects/backgrounds/protos/TexturedBackground.proto" EXTERNPROTO "https://raw.githubusercontent.com/cyberbotics/webots/R2025a/projects/objects/backgrounds/protos/TexturedBackgroundLight.proto" WorldInfo { basicTimeStep 16 } Viewpoint { orientation 0.57 -0.495 -0.656 4.55 position 3.88 -16.8 99.5 } TexturedBackground { } TexturedBackgroundLight { } DEF FLOOR Solid { translation 25 20 0 name "floor" children [ Shape { appearance PBRAppearance { baseColor 0.8 0.8 0.8 roughness 1 metalness 0 } geometry Box { size 50 40 0.1 } } ] boundingObject Box { size 50 40 0.1 } } # Vertical wall from (10,5) to (10,35) DEF VERTICAL_WALL_1 Solid { translation 10 20 0.5 name "vertical_wall_1" children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 30 1 } } ] boundingObject Box { size 1 30 1 } } # Horizontal wall from (10,20) to (40,20) DEF HORIZONTAL_WALL Solid { translation 25 20 0.5 name "horizontal_wall" children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 30 1 1 } } ] boundingObject Box { size 30 1 1 } } # Vertical wall from (30,0) to (30,15) DEF VERTICAL_WALL_2 Solid { translation 30 7.5 0.5 name "vertical_wall_2" children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 15 1 } } ] boundingObject Box { size 1 15 1 } } # Obstacle cluster from (20,25) to (25,30) DEF OBSTACLE_CLUSTER Solid { translation 22.5 27.5 0.5 name "obstacle_cluster" children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 5 5 1 } } ] boundingObject Box { size 5 5 1 } } # Random obstacles DEF OBSTACLE_1 Solid { translation 15 10 0.5 name "obstacle_1" children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 1 1 } } ] boundingObject Box { size 1 1 1 } } DEF OBSTACLE_2 Solid { translation 25 5 0.5 name "obstacle_2" children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 1 1 } } ] boundingObject Box { size 1 1 1 } } DEF OBSTACLE_3 Solid { translation 35 25 0.5 name "obstacle_3" children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 1 1 } } ] boundingObject Box { size 1 1 1 } } DEF OBSTACLE_4 Solid { translation 40 30 0.5 name "obstacle_4" children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 1 1 } } ] boundingObject Box { size 1 1 1 } } DEF OBSTACLE_5 Solid { translation 45 15 0.5 name "obstacle_5" children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 1 1 } } ] boundingObject Box { size 1 1 1 } } # Robot node - simplified to avoid physical features Robot { translation 0 0 0.5 name "robot" controller "robot_controller" supervisor TRUE children [ Shape { appearance PBRAppearance { baseColor 1 0 0 roughness 1 metalness 0 } geometry Sphere { radius 0.500000 subdivision 2 } } ] boundingObject Sphere { radius 0.500000 } } ## Required Results: 1. The complete path as an ordered list of coordinates from start to goal 2. The total path length (in meters) 3. The algorithm used (A*, Dijkstra, etc.) 4. The number of nodes explored during the search 5. Whether 4-connected or 8-connected movement was used
Xiayu Zhao
XZ_04
1,452
Robotics
## Task Description You are given a Webots world file named 'construction_site.wbt' with some walls (obstacles) and a controller file named "robot_controller.py", you should first read the world info as shown below, then generate a trajectory from start point to goal point without hitting any obstacles. The trajectory should satisfy the following requirements: 1. The format of trajectory should be written as (t, X, Y, Z, v, a), where t is time, X, Y, Z are the 3D coordinates of the robot, v is velocity, a is acceleration. The small inspection robot's starting position is at the site entrance (0,0,0,0,0,0), and we set the goal position to the far corner inspection point (t,19,24,0,0,a). 2. The trajectory should follow the safety constraints: 2.1 In the WHITE_ZONE solid area, the robot's maximum speed should not exceed 1m/s; 2.2 In the RED_ZONE solid area, the robot's maximum speed should not exceed 2m/s; 2.3 In the GREEN_ZONE solid area, the robot's maximum speed should not exceed 0.5m/s; 3. The entire trajectory can only be in the above three zones, i.e., the robot can not move out from those zones. The construction_site.wbt contains the following world info (the length unit is meter): #VRML_SIM R2025a utf8 EXTERNPROTO "https://raw.githubusercontent.com/cyberbotics/webots/R2025a/projects/objects/backgrounds/protos/TexturedBackground.proto" EXTERNPROTO "https://raw.githubusercontent.com/cyberbotics/webots/R2025a/projects/objects/backgrounds/protos/TexturedBackgroundLight.proto" WorldInfo { basicTimeStep 16 } Viewpoint { orientation 0.5228920141164687 -0.5800081356561211 -0.6246394993481706 4.784396651657472 position -24.923416016240427 -15.86980776668673 82.27805548757286 } TexturedBackground { } TexturedBackgroundLight { } DEF WHITE_ZONE Solid { translation 0.11 7.12 0 children [ Shape { appearance PBRAppearance { baseColor 0.8 0.8 0.8 roughness 1 metalness 0 } geometry Box { size 10 15 0.1 } } ] name "floor" boundingObject Box { size 10 15 0.1 } } DEF RED_ZONE Solid { translation 0.11 22.11 0 children [ Shape { appearance DEF red PBRAppearance { baseColor 0.666667 0 0 roughness 1 metalness 0 } geometry Box { size 10 15 0.1 } } ] name "floor(1)" boundingObject Box { size 10 15 0.1 } } DEF GREEN_ZONE Solid { translation 12.63 24.61 0 rotation 0 0 1 -1.5707953071795862 children [ Shape { appearance DEF green PBRAppearance { baseColor 0 0.333333 0 roughness 1 metalness 0 } geometry Box { size 10 15 0.1 } } ] name "floor(2)" boundingObject Box { size 10 15 0.1 } } DEF OBSTACLE_2 Solid { translation -0.41 4.78 0.5 children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 1 1 } } ] name "obstacle_4" boundingObject Box { size 1 1 1 } } DEF OBSTACLE_3 Solid { translation 1.58 11.04 0.5 children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 1 1 } } ] name "obstacle_5" boundingObject Box { size 1 1 1 } } DEF OBSTACLE_1 Solid { translation 3.24 21.69 0.5 children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 1 1 } } ] name "obstacle_5(1)" boundingObject Box { size 1 1 1 } } DEF OBSTACLE_4 Solid { translation -1.76 18.4 0.5 children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 1 1 } } ] name "obstacle_5(3)" boundingObject Box { size 1 1 1 } } DEF OBSTACLE_5 Solid { translation 13.45 24.52 0.5 children [ Shape { appearance PBRAppearance { baseColor 0.6 0.6 0.6 } geometry Box { size 1 1 1 } } ] name "obstacle_5(2)" boundingObject Box { size 1 1 1 } } Robot { translation 0 0 0.5 children [ Shape { appearance PBRAppearance { baseColor 1 0 0 roughness 1 metalness 0 } geometry Sphere { radius 0.5 subdivision 2 } } ] boundingObject Sphere { radius 0.5 } controller "robot_controller" supervisor TRUE } ## Required Results: 1. The complete trajectory from start to goal 2. The total travel time (in second) 3. The total path length (in meters) 4. The number of nodes explored during the search
Xiayu Zhao
YZ_04
340
Mechanical Systems
## Task Description In this task, you are required to design a battery module for electric vehicle applications using the data from a Lithium-Ferrous-Phosphate (LFP) cell characterized at multiple temperatures. The battery must support a 100 A fast-charge for up to 20 minutes, and the resulting temperature rise in each cell should stay within specified limits. You will also design and parameterize a cooling system (with a parallel channel configuration) so that the maximum temperature gradient across the module and the pressure drop of the coolant remain within their respective constraints. ### Task 1 The given HPPC data uses a 40 A pulse, but your final design must handle a continuous charge rate of 100 A, so that you need to specify the following parameters of battery module to satisfy this need: - numCells_p, the number of cells in the parallel set. - numCells_s, the number of series connected parallel set. ### Task 2 Your second task is to determine the desired parameters for the cooling plate. As a result, the cooling plate you designed must keep the battery within reasonable temperatures during its operation. Below are the detailed requirements: - The maximum rise in cell temperature must be lower than or equal to 10 degree Celsius. - The maximum temperature gradient within a module must not exceed 5 degree Celsius. - The maximum acceptable pressure drop for the module cooling system must be less than 20kPa. The design parameters for the cooling plate you need to specify includes: - NumChannel, the number of cooling channels. - Flowrate, the applicable coolant flow-rate. - ChannelDia, the channel diameter, typically < 0.01.
Yizhou Zhao
YZ_03
619
Signal Processing
## Task Description In this task, you are required to design a helical antenna for use in mobile and satellite communications, operating in axial mode to produce circularly polarized radiation along its main axis. The helical antenna must be designed to meet specific frequency and performance criteria. Your final goal is to determine appropriate parameters for a helical antenna implemented using the MATLAB antenna toolbox, ensuring it satisfies specific requirements in terms of directivity variation and axial ratio. Notably, the helix model in the toolbox uses a strip approximation, which relates the width of the strip to the radius of an equivalent cylinder. ### Task 1 Your first task is to calculate the relative bandwidth (less than 1), based on the following frequency range and center frequency: - Frequency range: 1.3 - 2 GHz - Center frequency: 1.65 GHz ### Task 2 Your second task is to determine a set of appropriate parameters for the helical antenna design using the MATLAB toolbox. Since the helix model in the toolbox has a circular ground plane, the radius of the ground plane should be set to half the side length of an equivalent square ground plane. Specifically, the parameters you need to consider include: - $r$, the radius of cylinder, must be less than 0.0005 - $D$, the diameter of the helical antenna, must satisfy $0.05 < D < 0.06$. - $turns$, the number of helical turns - $pitch$, the pitch of the helix, which must be greater than 5 deg - $side$, the side length of the square ground plane, must be greater than 0.3. The helical antenna will be created and evaluated using the MATLAB code shown below. The frequency range and center frequency are given in Task 1: </code_block> % design helix antenna width = cylinder2strip(r); feedheight = 3*r; radius = D/2; spacing = helixpitch2spacing(pitch,radius); radiusGP = side/2; hx = helix(Radius=radius,Width=width,Turns=turns,... Spacing=spacing,GroundPlaneRadius=radiusGP,... FeedStubHeight=feedheight); % directivity as a function of frequency freq = [1.3e9, 1.65e9, 2.0e9]; Nf = length(freq); D = nan(1, Nf); for i = 1:Nf D(i) = pattern(hx, freq(i), 0, 90); end % axial ratio at center frequency ar_dB = axialRatio(hx, freq, 0, 90); </code_block> The helix antenna you design must satisfy the following requirements: - r: < D / (20 * 3.14) - Directivity range: 13 dBi +/- 1.5 dBi - Axial Ratio: < 1.5
Yizhou Zhao
End of preview. Expand in Data Studio

EngDesign Dataset

A dataset of 101 structured engineering design prompts across multiple domains.

Downloads last month
90